@article{lucovsky_hong_fulton_stoute_zou_nemanich_aspnes_ade_schlom_2005, title={Conduction band states of transition metal (TM) high-k gate dielectrics as determined from X-ray absorption spectra}, volume={45}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2004.11.038}, abstractNote={This paper uses X-ray absorption spectroscopy to study the electronic structure of the high-k gate dielectrics including TM and RE oxides. The results are applicable to TM and rare earth (RE) silicate and aluminate alloys, as well as complex oxides comprised of mixed TM/TM and TM/RE oxides. These studies identify the nature of the lowest conduction band d∗ states, which define the optical band gap, Eg, and the conduction band offset energy with respect to crystalline Si, EB. Eg and EB scale with the atomic properties of the TM and RE atoms providing important insights for identification high-k dielectrics that meet performance targets for advanced CMOS devices.}, number={5-6}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G and Hong, JG and Fulton, CC and Stoute, NA and Zou, Y and Nemanich, RJ and Aspnes, DE and Ade, H and Schlom, DG}, year={2005}, pages={827–830} } @article{lucovsky_rayner_kang_hinkle_hong_2004, title={A spectroscopic phase separation study distinguishing between chemical with different degrees of crystallinity in Zr(Hf) silicate alloys}, volume={566}, ISSN={["0039-6028"]}, DOI={10.1016/j.susc.2004.06.010}, abstractNote={Abstract Chemical phase separation at device processing temperatures is an important issue for integration of Zr and Hf silicates alloys into advanced CMOS devices. Chemical phase separation into ZrO 2 and SiO 2 has been detected by different spectroscopic techniques, including Fourier transform infra red, X-ray photoelectron, and X-ray absorption spectroscopy, as well as X-ray diffraction and high resolution transmission electron microscopy imaging as well. Comparisons between these techniques for Zr silicates identify an unambiguous approach to distinguishing between chemical phase separation with different degrees of micro- and nano-crystallinity.}, journal={SURFACE SCIENCE}, author={Lucovsky, G and Rayner, GB and Kang, D and Hinkle, CL and Hong, JG}, year={2004}, month={Sep}, pages={772–776} } @article{lucovsky_rayner_kang_hinkle_hong_2004, title={A spectroscopic study distinguishing between chemical phase separation with different degrees of crystallinity in Hf(Zr) silicate alloys}, volume={234}, ISSN={["0169-4332"]}, DOI={10.1016/j.apsusc.2004.05.075}, abstractNote={Abstract Chemical phase separation at device processing temperatures is an important issue for integration of Zr and Hf silicates alloys into advanced complementary metal oxide semiconductor (CMOS) devices. Chemical phase separation into ZrO 2 and SiO 2 has been detected by different spectroscopic techniques, including Fourier transform infrared, X-ray photoelectron, and X-ray absorption spectroscopy, as well as X-ray diffraction and high resolution transmission electron microscopy imaging as well. Comparisons between these techniques for Zr silicates identify an unambiguous approach to distinguishing between chemical phase separation with different degrees of micro- and nano-crystallinity.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Rayner, GB and Kang, D and Hinkle, CL and Hong, JG}, year={2004}, month={Jul}, pages={429–433} } @article{rayner_kang_hinkle_hong_lucovsky_2004, title={Chemical phase separation in Zr silicate alloys: a spectroscopic study distinguishing between chemical phase separation with different degree of micro- and nano-crystallinity}, volume={72}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2004.01.008}, abstractNote={Chemical phase separation at processing temperatures is an important issue for integration of Zr and Hf silicates alloys into advanced CMOS devices. Chemical phase separation into ZrO2 and SiO2 has been detected by different spectroscopic techniques, including Fourier transform infrared, X-ray photoelectron, and X-ray absorption spectroscopy, as well as X-ray diffraction and high resolution transmission electron microscopy imaging as well. Comparisons between techniques for Zr silicates identify an unambiguous approach to distinguishing between chemical phase separation with different degrees of micro- and nano-crystallinity. This is important since all modes of chemical separation degrade dielectric properties required for high-K applications.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Rayner, GB and Kang, D and Hinkle, CL and Hong, JG and Lucovsky, G}, year={2004}, month={Apr}, pages={304–309} } @article{lucovsky_hong_fulton_zou_nemanich_ade_scholm_freeouf_2004, title={Spectroscopic studies of metal high-k dielectrics: transition metal oxides and silicates, and complex rare earth/transition metal oxides}, volume={241}, ISSN={["1521-3951"]}, DOI={10.1002/pssb.200404938}, abstractNote={Abstract}, number={10}, journal={PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS}, author={Lucovsky, G and Hong, JG and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H and Scholm, DG and Freeouf, JL}, year={2004}, month={Aug}, pages={2221–2235} } @article{lucovsky_hong_fulton_zou_nemanich_ade_2004, title={X-ray absorption spectra for transition metal high-kappa dielectrics: Final state differences for intra- and inter-atomic transitions}, volume={22}, ISSN={["2166-2746"]}, DOI={10.1116/1.1771670}, abstractNote={This article applies x-ray absorption spectroscopy to a study of the electronic structure of the high-k gate dielectrics, TiO2, ZrO2, and HfO2. Qualitative and quantitative differences are identified between intra-atomic transitions such as the Zr 3p-state, M2,3 core state absorptions which terminate in TM 4d*- and 5s*-states, and inter-atomic transitions such as the Zr 1s- and O 1s-state K1 absorptions which terminate in Zr 4d*- and 5s*-states that are mixed with O atom 2p* states through nearest neighbor bonding interactions. Differences between the spectral peak energies of the lowest d*-features in the O K1 spectra are demonstrated to scale with optical band gap differences for TiO2, ZrO2, and HfO2, providing important information relevant to applications of TM oxides as high-κ gate dielectrics in advanced Si devices. This is demonstrated through additional scaling relationships between (i) conduction band offset energies between Si and the respective dielectrics, and the optical band gaps, and (ii) the conduction band offset energies, and the electron tunneling masses as well.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Lucovsky, G and Hong, JG and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H}, year={2004}, pages={2132–2138} } @article{lee_wu_bae_hong_lucovsky_2003, title={Structural dependence of breakdown characteristics and electrical degradation in ultrathin RPECVD oxide/nitride gate dielectrics under constant voltage stress}, volume={47}, ISSN={["1879-2405"]}, DOI={10.1016/S0038-1101(02)00257-5}, abstractNote={Abstract The structural dependence of breakdown characteristics and electrical degradation in ultrathin oxide/nitride (O/N) dielectrics, prepared by remote plasma enhanced chemical vapor deposition, is investigated under constant voltage stress. In the early stage of oxide wearout, soft breakdown is a local phenomenon dominated by the tunneling current. After a given period of stress, a strong channel-length dependence of dielectric breakdown and the corresponding stress-induced leakage current from the evolution of increased tunneling current have been found. Stacked O/N dielectrics with interface nitridation demonstrate improved device performance on subthreshold swing and threshold voltage shifts after stress, indicating the suppression of stress-induced traps at the oxide/Si and oxide/drain interfaces compared to thermal oxides. Experimental evidence shows more severe breakdown and device degradation in the threshold voltage, drain current and transconductance for shorter channel PMOSFETs with O/N dielectrics. These degradations result from the enhancement of hole trapping in the gate–drain overlap region as evidenced by a positive off-state leakage current, which leads to hard breakdown, and the complete failure of device functionality.}, number={1}, journal={SOLID-STATE ELECTRONICS}, author={Lee, YM and Wu, YD and Bae, C and Hong, JG and Lucovsky, G}, year={2003}, month={Jan}, pages={71–76} } @article{johnson_hong_hinkle_lucovsky_2002, title={Electron trapping in non-crystalline Ta- and Hf-aluminates for gate dielectric applications in aggressively scaled silicon devices}, volume={46}, ISSN={["1879-2405"]}, DOI={10.1016/S0038-1101(02)00152-1}, abstractNote={Abstract The physical and electrical properties of non-crystalline Ta- and Hf-alumiunates, (Ta 2 O 5 ) x (Al 2 O 3 ) 1− x and (HfO 2 ) x (Al 2 O 3 ) 1− x , respectively, were studied. As-deposited films were homogeneous and pseudo-binary in character with increased thermal stability with respect to the respective end-member oxides. Capacitance–voltage and current density–voltage data as a function of temperature demonstrate that the Ta and Hf d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta 2 O 5 and HfO 2 with respect to Si. This work correlates the studies of Ta- and Hf-aluminates to develop a qualitative conduction band energy level scheme for the two alloys where the interfacial electrical properties are dominated by electron traps of the respective transition metal atoms, and/or or network defects associated with the alloy.}, number={11}, journal={SOLID-STATE ELECTRONICS}, author={Johnson, RS and Hong, JG and Hinkle, C and Lucovsky, G}, year={2002}, month={Nov}, pages={1799–1805} } @article{johnson_hong_hinkle_lucovsky_2002, title={Electron trapping in noncrystalline remote plasma deposited Hf- aluminate alloys for gate dielectric applications}, volume={20}, number={3}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Johnson, R. S. and Hong, J. G. and Hinkle, C. and Lucovsky, G.}, year={2002}, pages={1126–1131} } @article{johnson_lucovsky_hong_2002, title={Fixed charge and interface traps at heterovalent interfaces between Si(100) and non-crystalline Al2O3-Ta2O5 alloys}, volume={190}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(01)00889-3}, abstractNote={Characterization by Auger electron spectroscopy (AES) and Fourier transformation infrared spectroscopy (FTIR) confirms (Ta2O5)x(Al2O3)1−x alloys are homogeneous pseudo-binary alloys with increased thermal stability with respect to end member oxides, Ta2O5 and Al2O3. Capacitance–voltage (C–V) and current density–voltage (J–V) data as a function of temperate show that the Ta d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta2O5 with respect to Si.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Johnson, RS and Lucovsky, G and Hong, JG}, year={2002}, month={May}, pages={43–47} } @article{ulrich_johnson_hong_rowe_lucovsky_quinton_madey_2002, title={Interface electronic structure of Ta2O5-Al2O3 alloys for Si- field-effect transistor gate dielectric applications}, volume={20}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Ulrich, M. D. and Johnson, R. S. and Hong, J. G. and Rowe, J. E. and Lucovsky, G. and Quinton, J. S. and Madey, T. E.}, year={2002}, pages={1732–1738} } @article{felix_fleetwood_schrimpf_hong_lucovsky_schwank_shaneyfelt_2002, title={Total-dose radiation response of hafnium-silicate capacitors}, volume={49}, ISSN={["1558-1578"]}, DOI={10.1109/TNS.2002.805392}, abstractNote={Hafnium-silicate capacitors with 4.5-nm equivalent oxide thickness gate insulators were irradiated with 10-keV X-rays. The midgap and flatband voltage shifts in these devices increase linearly with dose and are significantly larger than the shifts seen in high quality, thermal SiO/sub 2/ gate oxides of similar electrical thickness. The standard trapping efficiency equation is adapted for calculating effective trapping efficiencies in alternative dielectrics and used to compare the radiation response of hafnium silicate to SiO/sub 2/ from several manufacturers. The effects of common reliability screens such as "burn-in" and bias stress tests are also discussed. It is shown that baking these devices can degrade their capacitance-voltage characteristics, and large applied voltages inject excess charge into the dielectric, which can lead to a misinterpretation of the radiation results. However, the radiation responses of these devices, coupled with the demonstrated resistance of these films to heavy-ion induced gate rupture in previous studies, suggest that alternative dielectrics to SiO/sub 2/ potentially could be integrated into future electronics technologies for many low-power space applications.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Felix, JA and Fleetwood, DM and Schrimpf, RD and Hong, JG and Lucovsky, G and Schwank, JR and Shaneyfelt, MR}, year={2002}, month={Dec}, pages={3191–3196} } @article{johnson_hong_lucovsky_2001, title={Electron traps at interfaces between Si(100) and noncrystalline Al2O3, Ta2O5, and (Ta2O5)(x)(Al2O3)(1-x) alloys}, volume={19}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Johnson, R. S. and Hong, J. G. and Lucovsky, G.}, year={2001}, pages={1606–1610} } @article{johnson_lucovsky_hong_2001, title={Fixed charge and interface traps at heterovalent interfaces between Si(100) and non-crystalline Al2O3-Ta2O5 alloys}, volume={59}, ISSN={["1873-5568"]}, DOI={10.1016/S0167-9317(01)00673-6}, abstractNote={Characterization by Auger electron spectroscopy, AES, and Fourier transformation infrared spectroscopy, FTIR, confirm that (Ta2O5)x(Al2O3)1−x alloys are homogeneous with pseudo-binary in character, and display increased thermal stability. Capacitance–voltage, C–V, and current density–voltage, J–V, data as a function of temperate show that the Ta d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta2O5 with respect to Si.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Johnson, RS and Lucovsky, G and Hong, JG}, year={2001}, month={Nov}, pages={385–391} } @article{kim_kim_hong_streiffer_kingon_1999, title={Imprint and fatigue properties of chemical solution derived Pb1-xLax(ZryTi1-y)(1-x/4)O-3 thin films}, volume={14}, ISSN={["2044-5326"]}, DOI={10.1557/JMR.1999.0187}, abstractNote={We have investigated the effect of oxygen vacancies on imprint and fatigue behavior of the PLZT thin films. It is found that the compensation of oxygen vacancies with various dopant concentrations and electrode structures is an important process parameter in determining the tendency to imprint and fatigue. In the case of PLZT thin films, the voltage shifts related to imprint are attributed to the trapping of electrons at ionic defect sites such as oxygen vacancies near the film/electrode interface, the magnitude of polarization, and concentration of defect-dipole complexes involving oxygen vacancies such as V′Pb–V••o. The strong dependence of fatigue rate on electrode material for PLZT thin films is due to the effect of the ferroelectric/electrode interaction on the pinning and/or unpinning rate involving the accumulation of oxygen vacancies near the film/electrode interface during fatigue cycling. By using RuO2 as the top and/or bottom electrode instead of Pt, improved fatigue properties are obtained compared to Pt/PLZT/Pt capacitors. This is because a reduced accumulation of oxygen vacancies near the interface by the oxide electrode such as RuO2 may reduce the electronic charge trapping and, consequently, lead to less domain wall pinning.}, number={4}, journal={JOURNAL OF MATERIALS RESEARCH}, author={Kim, SH and Kim, DJ and Hong, J and Streiffer, SK and Kingon, AI}, year={1999}, month={Apr}, pages={1371–1377} } @article{kim_kim_hong_streiffer_kingon_1998, title={Thermally induced imprint properties of chemical solution derived PLZT thin films}, volume={22}, number={1-4}, journal={Integrated Ferroelectrics}, author={Kim, S. H. and Kim, D. J. and Hong, J. G. and Streiffer, S. K. and Kingon, A. I.}, year={1998}, pages={653–662} }