@inproceedings{lucovsky_long_chung_seo_watts_vasic_ulrich_2009, title={Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high-K dielectrics}, volume={27}, number={1}, booktitle={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Long, J. P. and Chung, K. B. and Seo, H. and Watts, B. and Vasic, R. and Ulrich, M. D.}, year={2009}, pages={294–299} } @article{lee_seo_lucovsky_fleming_ulrich_luening_2008, title={Bulk defects in nano-crystalline and in non-crystalline HfO2-based thin film dielectrics}, volume={517}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2008.08.098}, abstractNote={Defect states in the form of band edge electron and hole traps in HfO2 nano-crystalline films are qualitatively different in two different length scale regimes. For grain sizes > 3–4 nm, they are discrete band edge states associated with O-atom vacancies pinned and clustered at grain boundaries, whereas in as-deposited films, and films with a physical thickness of ~ 2 nm, they are band-tail defects with a density reduced by more than an order of magnitude. Defect states in non-crystalline high Si3N4 content Hf Si oxynitride alloys are qualitatively different than those in the either regime of nano-crystallinity, but instead are similar to those in SiO2, with densities < 1011 cm− 2 contrasted with defects densities in excess of 1011 cm− 2 in films with nano-grains ~ 2 nm, and extending to > 1012 cm− 2 in films with nano-grains > 3–4 nm.}, number={1}, journal={THIN SOLID FILMS}, author={Lee, S. and Seo, H. and Lucovsky, G. and Fleming, L. B. and Ulrich, M. D. and Luening, J.}, year={2008}, month={Nov}, pages={437–440} } @article{lee_long_lucovsky_whitten_seo_luning_2008, title={Suppression of Ge-O and Ge-N bonding at Ge-HfO2 and Ge-TiO2 interfaces by deposition onto plasma-nitrided passivated Ge substrates: Integration issues Ge gate stacks into advanced devices}, volume={48}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2007.07.068}, abstractNote={A study of changes in nano-scale morphology of thin films of nano-crystalline transition metal (TM) elemental oxides, HfO2 and TiO2, on plasma-nitrided Ge(1 0 0) substrates, and Si(1 0 0) substrates with ultra-thin (∼0.8 nm) plasma-nitrided Si suboxide, SiOx, x < 2, or SiON interfacial layers is presented. Near edge X-ray absorption spectroscopy (NEXAS) has been used to determine nano-scale morphology of these films by Jahn-Teller distortion removal of band edge d-state degeneracies. These results identify a new and novel application for NEXAS based on the resonant character of the respective O K1 and N K1 edge absorptions. This paper also includes a brief discussion of the integration issues for the introduction of this Ge breakthrough into advanced semiconductor circuits and systems. This includes a comparison of nano-crystalline and non-crystalline dielectrics, as well as issues relative to metal gates.}, number={3}, journal={MICROELECTRONICS RELIABILITY}, author={Lee, S. and Long, J. P. and Lucovsky, G. and Whitten, J. L. and Seo, H. and Luning, J.}, year={2008}, month={Mar}, pages={364–369} } @article{chung_seo_long_lucovsky_2008, title={Suppression of defect states in HfSiON gate dielectric films on n-type Ge(100) substrates}, volume={93}, ISSN={["0003-6951"]}, DOI={10.1063/1.3005422}, abstractNote={Defect states in HfO2 and HfSiON films deposited on Ge(100) substrates were studied by spectroscopic ellipsometry (SE) and x-ray absorption spectroscopy (XAS). In addition, structural and compositional changes in these films were examined via medium energy ion scattering (MEIS). SE and XAS experiments revealed two distinct band edge defect states, located at 1.7±0.1eV and at 2.7±0.1 below the conduction band edges of these films. The number of defect states in HfO2 increased noticeably following postdeposition annealing (PDA), whereas in HfSiON, it showed only small increases following the same treatment. MEIS measurements showed that Ge diffusion into HfO2 films was enhanced significantly by PDA as well; however, this effect was less pronounced in the HfSiON films. The suppression of defect state enhancement in HfSiON films was correlated with lower levels of Ge diffusion and increased structural stability with respect to HfO2.}, number={18}, journal={APPLIED PHYSICS LETTERS}, author={Chung, K. B. and Seo, H. and Long, J. P. and Lucovsky, G.}, year={2008}, month={Nov} } @article{lucovsky_seo_lee_fleming_ulrich_luning_2007, title={Defect reduction by suppression of pi-bonding coupling in nano- and non-crystalline high-(medium)-kappa gate dielectrics}, volume={84}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2007.04.062}, abstractNote={This paper identifies two-different regimes of nanocrystallinity: i) thin films with nanocrystallites >3 nm, and ii) thin films with nanocrystallites ⩽2 nm. Near edge X-ray absorption spectroscopy, and soft-X-ray photoelectron spectroscopy, combined with visible and ultra-violet spectroscopic ellipsometry, provide an unambiguous way to distinguish between these two technologically important regimes of nanocrystalline order, yielding significant information on band edge electronic structure, and electronically-active defects.}, number={9-10}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G. and Seo, H. and Lee, S. and Fleming, L. B. and Ulrich, M. D. and Luning, J.}, year={2007}, pages={2350–2353} } @article{lucovsky_seo_lee_fleming_ulrich_luning_lysaght_bersuker_2007, title={Intrinsic electronically active defects in transition metal elemental oxides}, volume={46}, ISSN={["0021-4922"]}, DOI={10.1143/JJAP.46.1899}, abstractNote={Densities of interfacial and bulk defects in high-κ dielectrics are typically about two orders of magnitude larger than those in Si–SiO2 devices. An asymmetry in electron and hole trapping kinetics, first detected in test capacitor devices with nanocrystalline ZrO2 and HfO2 dielectrics, is a significant potential limitation for Si device operation and reliability in complementary metal oxide semiconductor applications. There are two crucial issues: i) are the electron and hole traps intrinsic defects, or are they associated with processed-introduced impurities?, and ii) what are the local atomic bonding arrangements and electronic state energies of these traps? In this study, thin film nanocrystalline high-κ gate dielectrics, TiO2, ZrO2, and HfO2 (group IVB TM oxides), are investigated spectroscopically to identify the intrinsic electronic structures of valence and conduction band states, as well as those of intrinsic bonding defects. A quantitative/qualitative distinction is made between crystal field and Jahn–Teller (J–T) d-state energy differences in nanocrystralline TM elemental oxides, and noncrystalline TM silicates and Si oxynitrides. It is experimentally shown and theoretically supported that a length scale for nanocrystallite size <2–3 nm i) eliminates J–T d-state term splittings in band edge π-bonded d-states, and ii) represents a transition from the observation of discrete band edge defects to band-tail defects. Additionally, π-state bonding coherence can also be disrupted with similar effects on band edge and defect states in HfO2 films which have been annealed in NH3 at 700 °C, and display Hf–N bonds in N atom K1 edge X-ray absorption spectra.}, number={4B}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS}, author={Lucovsky, Gerald and Seo, Hyungtak and Lee, Sanghyun and Fleming, Leslie B. and Ulrich, Marc D. and Luning, Jan and Lysaght, Pat and Bersuker, Gennadi}, year={2007}, month={Apr}, pages={1899–1909} } @article{seo_lucovsky_fleming_ulrich_luning_koster_geballe_2007, title={Length scales for coherent pi-bonding interactions in complex high-k oxide dielectrics and their interfaces}, volume={84}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2007.04.069}, abstractNote={This paper uses X-ray absorption and vacuum ultra-violet spectroscopic ellipsometry to distinguish between non-crystallinity, and the suppression of Jahn-Teller splittings that identify a scale of order metric, λs, of ∼3 nm for distinguishing between i) nanocrystalline-order that can be detected by x-ray diffraction for λs > 3-4 nm, and ii) reduced nanocrystalline order that can be detected by atomic-scale imaging and extended X-ray absorption spectroscopy for λs < ∼2.5 nm. This approach is first applied to elemental transition metal oxides, and then to complex oxides and complex oxide alloys.}, number={9-10}, journal={MICROELECTRONIC ENGINEERING}, author={Seo, H. and Lucovsky, G. and Fleming, L. B. and Ulrich, M. D. and Luning, J. and Koster, G. and Geballe, T. H.}, year={2007}, pages={2298–2301} } @article{lucovsky_luening_fleming_ulrich_rowe_seo_lee_lysaght_bersuker_2007, title={Spectroscopic studies of O-vacancy defects in transition metal oxides}, volume={18}, ISSN={["1573-482X"]}, DOI={10.1007/s10854-007-9192-x}, journal={JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS}, author={Lucovsky, G. and Luening, J. and Fleming, L. B. and Ulrich, M. D. and Rowe, J. E. and Seo, H. and Lee, S. and Lysaght, P. and Bersuker, G.}, year={2007}, month={Oct}, pages={S263–S266} } @article{lucovsky_seo_fleming_luening_lysaght_bersuker_2007, title={Studies of bonding defects, and defect state suppression in HfO2 by soft X-ray absorption and photoelectron spectroscopies}, volume={601}, ISSN={["1879-2758"]}, DOI={10.1016/j.susc.2007.04.197}, abstractNote={This paper identifies two-different regimes of nano-crystallinity: (i) thin films with nano-crystallites >3 nm, that display coherent well-defined grain-boundaries, and (ii) thin films with nano-crystallites less than ∼2 nm, that display neither will-defined grain-boundaries nor lattice planes in high resolution transmission electron microscopy images, but yield an image indicative of clusters of small nano-crystallites with a length scale order of ∼2 nm. Near edge X-ray absorption spectroscopy, and soft-X-ray photoelectron spectroscopy, combined with visible and UV spectroscopic ellipsometry, provide an unambiguous way to distinguish between these two technologically important regimes of nano-crystalline order, yielding significant information on electronic structure of intrinsic band edge states and intrinsic electronically-active defects.}, number={18}, journal={SURFACE SCIENCE}, author={Lucovsky, G. and Seo, H. and Fleming, L. B. and Luening, J. and Lysaght, P. and Bersuker, G.}, year={2007}, month={Sep}, pages={4236–4241} } @article{chen_mamouni_zhou_schrimpf_fleetwood_galloway_lee_seo_lucovsky_jun_et al._2007, title={Total dose and bias temperature stress effects for HfSiON on Si MOS capacitors}, volume={54}, ISSN={["0018-9499"]}, DOI={10.1109/TNS.2007.910862}, abstractNote={We have performed an experimental study of the effects of ionizing radiation and bias-temperature stress on Si MOS devices with HfSiON gate dielectrics. We compare the responses of homogeneous high-SiN films and low-SiN films that contain crystalline HfO. We observe that the low-SiN films are more sensitive to ionizing radiation than the high-SiN films. In particular, the low-SiN film that includes crystalline HfO is especially vulnerable to electron trapping due to substrate injection under positive irradiation bias. Both film types exhibit reduced radiation-induced charge trapping relative to previous Hf silicates. The high-SiN film exhibits less radiation-induced net oxide-trap charge density than earlier Hf silicate films processed without nitride. We also find that these devices are relatively robust against bias-temperature stress instabilities. Consistent with the radiation response, the low-SiN devices also display elevated levels of charge trapping relative to the high-SiN devices during bias-temperature stress.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Chen, D. K. and Mamouni, E. E. and Zhou, X. J. and Schrimpf, R. D. and Fleetwood, D. M. and Galloway, K. F. and Lee, S. and Seo, H. and Lucovsky, G. and Jun, B. and et al.}, year={2007}, month={Dec}, pages={1931–1937} } @article{lucovsky_hinkle_fulton_stoute_seo_luning_2006, title={Intrinsic nanocrystalline grain-boundary and oxygen atom vacancy defects in ZrO2 and HfO2}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2005.07.062}, abstractNote={Defects ∼0.5–0.8 eV below the conduction band edge, contributing to trap-assisted tunneling and Frenkel–Poole transport have been reported for injection from n-type Si into SiO2–HfO2 dielectrics. Band edge spectroscopic measurements, combined with X-ray absorption spectroscopy, have identified localized defect states at this energy below the conduction band edges of HfO2, and ZrO2. Capacitance–voltage and cathodoluminescence studies, combined with band edge spectroscopy have identified an interfacial trap associated with oxygen atom vacancies as well.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Lucovsky, G. and Hinkle, C. L. and Fulton, C. C. and Stoute, N. A. and Seo, H. and Luning, J.}, year={2006}, month={Nov}, pages={2097–2101} } @article{lucovsky_fulton_ju_stoute_tao_aspnes_luening_2006, title={Suppression of Jahn-Teller term-split band edge states in the x-ray absorption spectra of non-crystalline Zr silicates and Si oxynitride alloys, and alloys of ZrO2 with Y2O3}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2006.05.004}, abstractNote={Jahn–Teller (J–T) term-split states in nanocrystalline transition metal and trivalent rare earth elemental and complex oxides reduce the band gap, and tunnelling barrier height at interfaces with crystalline Si substrates. These states are identified by x-ray absorption spectroscopy and spectroscopic ellipsometry. Alloys for suppression of J–T d-state degeneracy removal are identified as: (i) non-crystalline Zr/Hf silicates and Si oxynitrides and (ii) ZrO2–Y2O3 alloys with high concentrations of randomly distributed O-vacancies that promote cubic crystalline symmetry.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Lucovsky, G. and Fulton, C. C. and Ju, B. S. and Stoute, N. A. and Tao, S. and Aspnes, D. E. and Luening, J.}, year={2006}, month={Nov}, pages={1591–1595} } @article{seo_kim_chung_kim_kim_jeon_2005, title={Characterization of remote inductively coupled CH4-N-2 plasma for carbon nitride thin-film deposition}, volume={98}, number={4}, journal={Journal of Applied Physics}, author={Seo, H. and Kim, J. H. and Chung, K. H. and Kim, J. Y. and Kim, S. H. and Jeon, H.}, year={2005} } @article{kim_kim_seo_kim_jeon_2005, title={Deposition and plasma measurements of Zr-oxide films with low impurity concentrations by remote PEALD}, volume={8}, ISSN={["1944-8775"]}, DOI={10.1149/1.1854773}, abstractNote={Zr-oxide film was deposited by remote plasma-enhanced atomic layer deposition (PEALD) and showed relatively low impurity contamination. In the Zr-oxide film deposition process, the plasma diagnostics were performed to investigate the effects and characteristics of O 2 remote plasma. The carbon contents in Zr-oxide film were decreased with increasing rf power. The electron density and optical emission of species were measured using cutoff and optical emission spectroscopy during the deposition. The electron density in O 2 remote plasma was about 7.8 X 10 9 to 2.1 X 10 1 0 cm - 3 . The dominant emission species of O 2 remote plasma was the excited atomic and molecular oxygen.}, number={3}, journal={ELECTROCHEMICAL AND SOLID STATE LETTERS}, author={Kim, JY and Kim, SH and Seo, H and Kim, JH and Jeon, H}, year={2005}, pages={G82–G84} } @article{kim_seo_kim_kim_tak_jeon_2005, title={Surface characteristics of indium-tin oxide cleaned by remote plasma}, volume={44}, number={2}, journal={Japanese Journal of Applied Physics. Part 1, Regular Papers, Short Notes & Review Papers}, author={Kim, S. and Seo, H. and Kim, Y. and Kim, K. and Tak, Y. and Jeon, H.}, year={2005}, pages={1041–1044} }