Amro J. Awad Alam, F., Lee, H., Bhattacharjee, A., & Awad, A. (2023). CryptoMMU: Enabling Scalable and Secure Access Control of Third-Party Accelerators. 56TH IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE, MICRO 2023, pp. 32–48. https://doi.org/10.1145/3613424.3614311 Chowdhuryy, M. H. I., Jung, M., Yao, F., & Awad, A. (2023). D-Shield: Enabling Processor-side Encryption and Integrity Verification for Secure NVMe Drives. 2023 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE, HPCA, pp. 908–921. https://doi.org/10.1109/HPCA56546.2023.10070924 Nema, S., Chunduru, S. K., Kodigal, C., Voskuilen, G., Rodrigues, A. F., Hemmert, S., … Hughes, C. (2023). ERAS: A Flexible and Scalable Framework for Seamless Integration of RTL Models with Structural Simulation Toolkit. 2023 IEEE INTERNATIONAL SYMPOSIUM ON WORKLOAD CHARACTERIZATION, IISWC, pp. 196–200. https://doi.org/10.1109/IISWC59245.2023.00038 Shadab, R. M., Zou, Y., Gandham, S., Awad, A., & Lin, M. (2023). HMT: A Hardware-centric Hybrid Bonsai Merkle Tree Algorithm for High-performance Authentication. ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, 22(4). https://doi.org/10.1145/3595179 Abdullah, R., Zhou, H., & Awad, A. (2023). Plutus: Bandwidth-Efficient Memory Security for GPUs. 2023 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE, HPCA, pp. 543–555. https://doi.org/10.1109/HPCA56546.2023.10071100 Karabulut, E., Awad, A., & Aysu, A. (2023). SS-AXI: Secure and Safe Access Control Mechanism for Multi-Tenant Cloud FPGAs. 2023 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, ISCAS. https://doi.org/10.1109/ISCAS46773.2023.10181609 Han, X., Tuck, J., & Awad, A. (2023). Thoth: Bridging the Gap Between Persistently Secure Memories and Memory Interfaces of Emerging NVMs. 2023 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE, HPCA, pp. 94–107. https://doi.org/10.1109/HPCA56546.2023.10070991 Meteriz-Yildiran, U., Yildiran, N. F., Awad, A., & Mohaisen, D. (2022). A Keylogging Inference Attack on Air-Tapping Keyboards in Virtual Environments. 2022 IEEE CONFERENCE ON VIRTUAL REALITY AND 3D USER INTERFACES (VR 2022), pp. 765–774. https://doi.org/10.1109/VR51125.2022.00098 Zou, Y., Abu Zubair, K., Alwadi, M., Shadab, R. M., Gandham, S., Awad, A., & Lin, M. (2022). ARES: Persistently Secure Non-Volatile Memory with Processor-transparent and Hardware-friendly Integrity Verification and Metadata Recovery. ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, 21(1). https://doi.org/10.1145/3492735 Plagge, M., Feinberg, B., McFarland, J., Rothganger, F., Agarwal, S., Awad, A., … Cardwell, S. G. (2022). ATHENA: Enabling Codesign for Next-Generation AI/ML Architectures. 2022 IEEE INTERNATIONAL CONFERENCE ON REBOOTING COMPUTING, ICRC, pp. 13–23. https://doi.org/10.1109/ICRC57508.2022.00016 Yuan, S., Awad, A., Yudha, A. W. B., Solihin, Y., & Zhou, H. (2022). Adaptive Security Support for Heterogeneous Memory on GPUs. 2022 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2022), pp. 213–228. https://doi.org/10.1109/HPCA53966.2022.00024 Zou, Y., Awad, A., & Lin, M. (2022). DirectNVM: Hardware-accelerated NVMe SSDs for High-performance Embedded Computing. ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, 21(1). https://doi.org/10.1145/3463911 Nema, S., Kirschner, J., Adak, D., Agarwal, S., Feinberg, B., Rodrigues, A. F., … Awad, A. (2022). Eris: Fault Injection and Tracking Framework for Reliability Analysis of Open-Source Hardware. 2022 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE (ISPASS 2022), pp. 210–220. https://doi.org/10.1109/ISPASS55109.2022.00027 Abu Zubair, K., Mohaisen, D., & Awad, A. (2022). Filesystem Encryption or Direct-Access for NVM Filesystems? Let's Have Both! 2022 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2022), pp. 490–502. https://doi.org/10.1109/HPCA53966.2022.00043 Dubey, A., Karabulut, E., Awad, A., & Aysu, A. (2022). High-Fidelity Model Extraction Attacks via Remote Power Monitors. 2022 IEEE INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS 2022): INTELLIGENT TECHNOLOGY IN THE POST-PANDEMIC ERA, pp. 328–331. https://doi.org/10.1109/AICAS54282.2022.9869973 Han, X., Tuck, J., & Awad, A. (2022). Horus: Persistent Security for Extended Persistence-Domain Memory Systems. 2022 55TH ANNUAL IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE (MICRO), pp. 1255–1269. https://doi.org/10.1109/MICRO56248.2022.00087 Alwadi, M., Wang, R., Mohaisen, D., Hughes, C., Hammond, S. D., & Awad, A. (2022). Minerva: Rethinking Secure Architectures for the Era of Fabric-Attached Memory Architectures. 2022 IEEE 36TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM (IPDPS 2022), pp. 258–268. https://doi.org/10.1109/IPDPS53621.2022.00033 Alwadi, M., Abu Zubair, K., Mohaisen, D., & Awad, A. (2022). Phoenix: Towards Ultra-Low Overhead, Recoverable, and Persistently Secure NVM. IEEE TRANSACTIONS ON DEPENDABLE AND SECURE COMPUTING, 19(2), 1049–1063. https://doi.org/10.1109/TDSC.2020.3020085 Alasmary, H., Anwar, A., Abusnaina, A., Alabduljabbar, A., Abuhamad, M., Wang, A., … Mohaisen, D. (2022). SHELLCORE: Automating Malicious IoT Software Detection Using Shell Commands Representation. IEEE INTERNET OF THINGS JOURNAL, 9(4), 2485–2496. https://doi.org/10.1109/JIOT.2021.3086398 Rashed, M. R. H., Awad, A., Jha, S. K., & Ewetz, R. (2022). Towards Resilient Analog In-Memory Deep Learning via Data Layout Re-Organization. PROCEEDINGS OF THE 59TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC 2022, pp. 859–864. https://doi.org/10.1145/3489517.3530532 McFarland, J., & Awad, A. (2022). Transpose-Xen: Virtualized Mixed-Criticality through Dynamic Allocation. 37TH ANNUAL ACM SYMPOSIUM ON APPLIED COMPUTING, pp. 3–12. https://doi.org/10.1145/3477314.3506979 Choi, J., Anwar, A., Alabduljabbar, A., Alasmary, H., Spaulding, J., Wang, A., … Mohaisen, D. (2022). Understanding Internet of Things malware by analyzing endpoints in their static artifacts. COMPUTER NETWORKS, 206. https://doi.org/10.1016/j.comnet.2022.108768 Kommareddy, V. R., Hughes, C., Hammond, S. D., & Awad, A. (2021). DeACT: Architecture-Aware Virtual Memory Support for Fabric Attached Memory Systems. 2021 27TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2021), pp. 453–466. https://doi.org/10.1109/HPCA51647.2021.00046 Zou, Y., Awad, A., & Lin, M. (2021). HERMES: Hardware-Efficient Speculative Dataflow Architecture for Bonsai Merkle Tree-Based Memory Authentication. 2021 IEEE INTERNATIONAL SYMPOSIUM ON HARDWARE ORIENTED SECURITY AND TRUST (HOST), pp. 203–213. https://doi.org/10.1109/HOST49136.2021.9702283 Wang, X., Zhao, B., Hou, R., Awad, A., Tian, Z., & Meng, D. (2021). NASGuard: A Novel Accelerator Architecture for Robust Neural Architecture Search (NAS) Networks. 2021 ACM/IEEE 48TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2021), pp. 776–789. https://doi.org/10.1109/ISCA52012.2021.00066 Yuan, F., Wang, K., Hou, R., Li, X., Li, P., Zhao, L., … Meng, D. (2021). PiPoMonitor: Mitigating Cross-core Cache Attacks Using the Auto-Cuckoo Filter. PROCEEDINGS OF THE 2021 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2021), pp. 1697–1702. https://doi.org/10.23919/DATE51398.2021.9473988 Alasad, Q., Lin, J., Yuan, J.-S., Fan, D., & Awad, A. (2021). Resilient and Secure Hardware Devices Using ASL. ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS, 17(2). https://doi.org/10.1145/3429982 Chowdhuryy, M. H. I., Ewetz, R., Awad, A., & Yao, F. (2021). Seeds of SEED: R-SAW: New Side Channels Exploiting Read Asymmetry in MLC Phase Change Memories. 2021 INTERNATIONAL SYMPOSIUM ON SECURE AND PRIVATE EXECUTION ENVIRONMENT DESIGN (SEED 2021), pp. 22–28. https://doi.org/10.1109/SEED51797.2021.00013 Alwadi, M., Kommareddy, V. R., Hughes, C., Hammond, S. D., & Awad, A. (2021). Stealth-Persist: Architectural Support for Persistent Applications in Hybrid Memory Systems. 2021 27TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2021), pp. 139–152. https://doi.org/10.1109/HPCA51647.2021.00022 Arafat, A. A., Guo, Z., & Awad, A. (2021). VR-Spy: A Side-Channel Attack on Virtual Key-Logging in VR Headsets. https://doi.org/10.1109/VR50410.2021.00081 Che, Y., Yang, Y., Awad, A., & Wang, R. (2020). A Lightweight Memory Access Pattern Obfuscation Framework for NVM. IEEE COMPUTER ARCHITECTURE LETTERS, 19(2), 163–166. https://doi.org/10.1109/LCA.2020.3041484 Awad, A., & Wang, R. (2020, November 1). Guest Editors' Introduction to the Special Issue on Hardware Security. IEEE TRANSACTIONS ON COMPUTERS, Vol. 69, pp. 1556–1557. https://doi.org/10.1109/TC.2020.3021223 Awad, A., Manadhata, P., Haber, S., Solihin, Y., & Horne, W. (2016, April). Silent Shredder: Zero-Cost Shredding for Secure Non-Volatile Main Memory Controllers. ACM SIGPLAN NOTICES, Vol. 51, pp. 263–276. https://doi.org/10.1145/2954679.2872377 Awad, A., Manadhata, P., Haber, S., Solihin, Y., & Horne, W. (2016). Silent shredder: Zero-cost shredding for secure non-volatile main memory controllers. Operating Systems Review, 50(2), 263–276. https://doi.org/10.1145/2954680.2872377 Awad, A., Kettering, B., & Solihin, Y. (2015). Non-volatile memory host controller interface performance analysis in high-performance I/O systems. Ieee international symposium on performance analysis of systems and, 145–154. https://doi.org/10.1109/ispass.2015.7095793 Awad, A., & Solihin, Y. (2014). STM : Cloning the spatial and temporal memory access behavior. International symposium on high-performance computer, 237–247. https://doi.org/10.1109/hpca.2014.6835935