James Tuck Volkel, K. D., Lin, K. N., Hook, P. W., Timp, W., Keung, A. J., & Tuck, J. M. (2023). FrameD: framework for DNA-based data storage design, verification, and validation. Bioinformatics. https://doi.org/10.1093/bioinformatics/btad572 Elnawawy, H., Tuck, J., & Byrd, G. T. (2023). PreFlush: Lightweight Hardware Prediction Mechanism for Cache Line Flush and Writeback (J. Tuck & G. Byrd, Eds.). 2023 32ND INTERNATIONAL CONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES, PACT, pp. 74–85. https://doi.org/10.1109/PACT58117.2023.00015 Han, X., Tuck, J., & Awad, A. (2023). Thoth: Bridging the Gap Between Persistently Secure Memories and Memory Interfaces of Emerging NVMs. 2023 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE, HPCA, pp. 94–107. https://doi.org/10.1109/HPCA56546.2023.10070991 Volkel, K., Tomek, K. J., Keung, A. J., & Tuck, J. M. (2022). DINOS: Data INspired Oligo Synthesis for DNA Data Storage. ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS, 18(3). https://doi.org/10.1145/3510853 Han, X., Tuck, J., & Awad, A. (2022). Horus: Persistent Security for Extended Persistence-Domain Memory Systems. 2022 55TH ANNUAL IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE (MICRO), pp. 1255–1269. https://doi.org/10.1109/MICRO56248.2022.00087 Alshboul, M., Ramrakhyani, P., Wang, W., Tuck, J., & Solihin, Y. (2021). BBB: Simplifying Persistent Programming using Battery-Backed Buffers. 2021 27TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2021), pp. 111–124. https://doi.org/10.1109/HPCA51647.2021.00019 Matange, K., Tuck, J. M., & Keung, A. J. (2021). [Review of DNA stability: a central design consideration for DNA data storage systems]. NATURE COMMUNICATIONS, 12(1). https://doi.org/10.1038/s41467-021-21587-5 Han, X., Tuck, J., & Awad, A. (2021). Dolos: Improving the Performance of Persistent Applications in ADR-Supported Secure Memory. MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. https://doi.org/10.1145/3466752.3480118 Tomek, K. J., Volkel, K., Indermaur, E. W., Tuck, J. M., & Keung, A. J. (2021). Promiscuous molecules for smarter file operations in DNA-based data storage. NATURE COMMUNICATIONS, 12(1). https://doi.org/10.1038/s41467-021-23669-w Lin, K. N., Volkel, K., Tuck, J. M., & Keung, A. J. (2020). Dynamic and scalable DNA-based information storage. NATURE COMMUNICATIONS, 11(1). https://doi.org/10.1038/s41467-020-16797-2 Solihin, Y., Alshboul, M., & Tuck, J. (2020, March). Methods of crash recovery for data stored in non-volatile main memory. Wang, T., & Tuck, J. (2020). Persistent Data Retention Models. ArXiv Preprint ArXiv:2009.14705. Samara, A., & Tuck, J. (2020). The Case for Domain-Specialized Branch Predictors for Graph-Processing. IEEE COMPUTER ARCHITECTURE LETTERS, 19(2), 101–104. https://doi.org/10.1109/LCA.2020.3005895 Alshboul, M., Tuck, J., & Solihin, Y. (2020). WET: write efficient loop tiling for non-volatile main memory. 2020 57th ACM/IEEE Design Automation Conference (DAC), 1–6. Tomek, K. J., Volkel, K., Simpson, A., Hass, A. G., Indermaur, E. W., Tuck, J. M., & Keung, A. J. (2019). Driving the Scalability of DNA-Based Information Storage Systems. ACS SYNTHETIC BIOLOGY, 8(6), 1241–1248. https://doi.org/10.1021/acssynbio.9b00100 Lin, K. N., Keung, A. J., & Tuck, J. M. (2019). Dynamic DNA-based information storage. BioRxiv, 836429. Koryachko, A., Matthiadis, A., Haque, S., Muhammad, D., Ducoste, J. J., Tuck, J. M., … Williams, C. M. (2019). Dynamic modelling of the iron deficiency modulated transcriptome response in Arabidopsis thaliana roots. In Silico Plants, 1(1), diz005. Alshboul, M., Elnawawy, H., Elkhouly, R., Kimura, K., Tuck, J., & Solihin, Y. (2019). Efficient Checkpointing with Recompute Scheme for Non-volatile Main Memory. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 16(2). https://doi.org/10.1145/3323091 Wang, T., Sambasivam, S., & Tuck, J. (2018). Hardware Supported Permission Checks On Persistent Objects for Performance and Programmability. 2018 ACM/IEEE 45TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA), pp. 466–478. https://doi.org/10.1109/ISCA.2018.00046 Wang, T., Sambasivam, S., & Tuck, J. (2018). Hardware supported permission checks on persistent objects for performance and programmability. 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), 466–478. Cohen, A., Shen, X., Torrellas, J., Tuck, J., Zhou, Y., Adve, S., … others. (2018). Inter-disciplinary research challenges in computer systems for the 2020s. National Science Foundation, USA, Tech. Rep. Alshboul, M., Tuck, J., & Solihin, Y. (2018). Lazy Persistency: a High-Performing and Write-Efficient Software Persistency Technique. 2018 ACM/IEEE 45TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA), pp. 439–451. https://doi.org/10.1109/ISCA.2018.00044 Alshboul, M., Tuck, J., & Solihin, Y. (2018). Lazy persistency: A high-performing and write-efficient software persistency technique. 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), 439–451. Wibowo, B., Agrawal, A., & Tuck, J. (2017). Characterizing the impact of soft errors across microarchitectural structures and implications for predictability. 2017 IEEE International Symposium on Workload Characterization (IISWC), 250–260. https://doi.org/10.1109/iiswc.2017.8167782 Elnawawy, H., Alshboul, M., Tuck, J., & Solihin, Y. (2017). Efficient checkpointing of loop-based codes for non-volatile main memory. 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT), 318–329. Wang, T., Sambasivam, S., Solihin, Y., & Tuck, J. (2017). Hardware supported persistent object address translation. 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 800–812. Shin, S., Tuck, J., & Solihin, Y. (2017). Hiding the Long Latency of Persist Barriers Using Speculative Execution. 44TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2017), pp. 175–186. https://doi.org/10.1145/3079856.3080240 Shin, S., Tuck, J., & Solihin, Y. (2017). Hiding the long latency of persist barriers using speculative execution. Proceedings of the 44th Annual International Symposium on Computer Architecture, 175–186. Huh, J., & Tuck, J. (2017). Improving the effectiveness of searching for isomorphic chains in superword level parallelism. 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 718–729. Agrawal, A., Loh, G. H., & Tuck, J. (2017). Leveraging near data processing for high-performance checkpoint/restart. Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, 1–12. Shin, S., Tirukkovalluri, S. K., Tuck, J., & Solihin, Y. (2017). Proteus: A flexible and fast software supported hardware logging approach for nvm. Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 178–190. Patsilaras, G., & Tuck, J. (2017). ReDirect: Reconfigurable Directories for Multicore Architectures. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 14(4). https://doi.org/10.1145/3162015 Wibowo, B., Agrawal, A., Stanton, T., & Tuck, J. (2016). An Accurate Cross-Layer Approach for Online Architectural Vulnerability Estimation. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 13(3). https://doi.org/10.1145/2975588 Shen, X., Mueller, F., & Tuck, J. (2016). Languages and Compilers for Parallel Computing: 28th International Workshop, LCPC 2015, Raleigh, NC, USA, September 9-11, 2015, Revised Selected Papers. Springer. Milewicz, R., Vanka, R., Tuck, J., Quinlan, D., & Pirkelbauer, P. (2016, April). Lightweight runtime checking of C programs with RTC. COMPUTER LANGUAGES SYSTEMS & STRUCTURES, Vol. 45, pp. 191–203. https://doi.org/10.1016/j.cl.2016.01.001 Milewicz, R., Vanka, R., Tuck, J., Quinlan, D., & Pirkelbauer, P. (2016). Lightweight runtime checking of C programs with RTC. Computer Languages, Systems & Structures, 45, 191–203. Koryachko, A., Matthiadis, A., Muhammad, D., Foret, J., Brady, S. M., Ducoste, J. J., … Williams, C. (2015). Clustering and Differential Alignment Algorithm: Identification of Early Stage Regulators in the Arabidopsis thaliana Iron Deficiency Response. PLOS ONE, 10(8). https://doi.org/10.1371/journal.pone.0136591 Koryachko, A., Matthiadis, A., Ducoste, J. J., Tuck, J., Long, T. A., & Williams, C. (2015). Computational approaches to identify regulators of plant stress response using high-throughput gene expression data. Current Plant Biology, 3-4, 20–29. https://doi.org/10.1016/J.CPB.2015.04.001 Franzon, P., Rotenberg, E., Tuck, J., Davis, W. R., Zhou, H., Schabel, J., … others. (2015). Computing in 3D. 2015 IEEE Custom Integrated Circuits Conference (CICC), 1–6. Sheikh, R., Tuck, J., & Rotenberg, E. (2015). Control-Flow Decoupling: An Approach for Timely, Non-Speculative Branching. IEEE TRANSACTIONS ON COMPUTERS, 64(8), 2182–2203. https://doi.org/10.1109/tc.2014.2361526 Milewicz, R., Vanka, R., Tuck, J., Quinlan, D., & Pirkelbauer, P. (2015). Runtime Checking C Programs. 30TH ANNUAL ACM SYMPOSIUM ON APPLIED COMPUTING, VOLS I AND II, pp. 2107–2114. https://doi.org/10.1145/2695664.2695906 Milewicz, R., Vanka, R., Tuck, J., Quinlan, D., & Pirkelbauer, P. (2015). Runtime checking C programs. Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2107–2114. Agrawal, A., Wibowo, B., & Tuck, J. (2015). Source Mark: A Source-Level Approach for Identifying Architecture and Optimization Agnostic Regions for Performance Analysis. 2015 IEEE International Symposium on Workload Characterization, 160–171. Agrawal, A., Wibowo, B., & Tuck, J. (2015). SourceMark: A Source-Level Approach for Identifying Architecture and Optimization Agnostic Regions for Performance Analysis. 2015 IEEE INTERNATIONAL SYMPOSIUM ON WORKLOAD CHARACTERIZATION (IISWC), pp. 160–171. https://doi.org/10.1109/iiswc.2015.27 Franzon, P. D., Rotenberg, E., Tuck, J., Zhou, H., Davis, W. R., Dai, H., … others. (2014). 3D-enabled customizable embedded computer (3DECC). 2014 International 3D Systems Integration Conference (3DIC), 1–3. Sheikh, R., Tuck, J., & Rotenberg, E. (2014). Control-flow decoupling: An approach for timely, non-speculative branching. IEEE Transactions on Computers, 64(8), 2182–2203. Franzon, P. D., Rotenberg, E., Tuck, J., Davis, W. R., Zhou, H., Schabel, J., … others. (2013). Applications and design styles for 3DIC. 2013 IEEE International Electron Devices Meeting, 29–24. Lee, S., & Tuck, J. (2013). Automatic Parallelization of Fine-Grained Metafunctions on a Chip Multiprocessor. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 10(4). https://doi.org/10.1145/2541228.2541237 Sheikh, R., Tuck, J., & Rotenberg, E. (2012). Control-Flow Decoupling. 2012 IEEE/ACM 45TH INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE (MICRO-45), pp. 329–340. https://doi.org/10.1109/micro.2012.38 Sheikh, R., Tuck, J., & Rotenberg, E. (2012). Control-flow decoupling. 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, 329–340. Vanka, R., & Tuck, J. (2012). Efficient and accurate data dependence profiling using software signatures. Proceedings of the Tenth International Symposium on Code Generation and Optimization, 186–195. Patsilaras, G., Choudhary, N. K., & Tuck, J. (2012). Efficiently Exploiting Memory Level Parallelism on Asymmetric Coupled Cores in the Dark Silicon Era. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 8(4). https://doi.org/10.1145/2086696.2086707 Han, L., Jiang, X., Liu, W., Wu, Y., & Tuck, J. (2012). HiRe: using hint & release to improve synchronization of speculative threads. Proceedings of the 26th ACM international conference on Supercomputing, 143–152. Patsilaras, G., Choudhary, N. K., & Tuck, J. (2011). Article 28 (21 pages)-Efficiently Exploiting Memory Level Parallelism on Asymmetric Coupled Cores in the Dark Silicon Era. ACM Transactions on Architecture and Code Optimization-TACO, 8(4). Lee, S., Danis, J., & Tuck, J. (2011). AutoPipe: A Pipeline Parallelization Framework in GCC. GROW2011: International Workshop on GCC Research Opportunities. Lee, S., & Tuck, J. (2011). Automatic Parallelization of Fine-grained Meta-functions on a Chip Multiprocessor. International Symposium on Code Generation and Optimization, 130–140. https://doi.org/10.1109/cgo.2011.5764681 Lee, S., Tiwari, D., Yan, S. H., & Tuck, J. (2011). HAQu: Hardware-accelerated queueing for fine-grained threading on a chip multiprocessor. International symposium on high-performance computer, 99–110. https://doi.org/10.1109/hpca.2011.5749720 Lee, S., Tiwari, D., Solihin, Y., & Tuck, J. (2011). HAQu: Hardware-accelerated queueing for fine-grained threading on a chip multiprocessor. 2011 IEEE 17th International Symposium on High Performance Computer Architecture, 99–110. Stenström, P., De Bosschere, K., Albericio, J., Gran, R., Ibáñez, P., Viñals, V., … others. (2011). SPECIAL ISSUE ON HIGH-PERFORMANCE AND EMBEDDED ARCHITECTURES AND COMPILERS. ACM Transactions On, 8(4). Patsilaras, G., Choudhary, N. K., & Tuck, J. (2010). Design Trade-offs for Memory Level Parallelism on an Asymmetric Multicore System. Pespma 2010-Workshop on Parallel Execution of Sequential Programs on Multi-core Architecture. Patsilaras, G., Choudhary, N., & Tuck, J. (2010). Design Tradeoffs for Memory-Level Parallelism on an Asymmetric Multicore System. Workshop on Parallel Execution of Sequential Programs on Multi-core Architectures. Tiwari, D., Tuck, J., & Solihin, Y. (2010). MMT: Exploiting Fine Grained Parallelism in Dynamic Memory Management. International Parallel and Distributed Processing Symposium. https://doi.org/10.1109/ipdps.2010.5470428 Tiwari, D., Lee, S., Tuck, J., & Solihin, Y. (2010). Mmt: Exploiting fine-grained parallelism in dynamic memory management. 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS), 1–12. Han, L., Liu, W., & Tuck, J. M. (2010). Speculative parallelization of partial reduction variables. Proceedings of the 8th annual IEEE/ACM international symposium on Code generation and optimization, 141–150. https://doi.org/10.1145/1772954.1772975 Tiwari, D., Lee, S., Tuck, J., & Solihin, Y. (2009). Memory management thread for heap allocation intensive sequential applications. Proceedings of the 10th workshop on MEmory performance: DEaling with Applications, systems and architecture, 35–42. https://doi.org/10.1145/1621960.1621967 Tuck, J., Ahn, W., Torrellas, J., & Ceze, L. (2009). SOFTSIG: SOFTWARE-EXPOSED HARDWARE SIGNATURES FOR CODE ANALYSIS AND OPTIMIZATION. IEEE MICRO, 29(1), 84–95. https://doi.org/10.1109/MM.2009.15 Torrellas, J., Ceze, L., Tuck, J., Cascaval, C., Montesinos, P., Ahn, W., & Prvulovic, M. (2009). The Bulk Multicore Architecture for Improved Programmability. COMMUNICATIONS OF THE ACM, 52(12), 58–65. https://doi.org/10.1145/1610252.1610271 Gopal, S., & Tuck, J. (2008). A Data Dependence Profiler for the GNU Compiler Collection. In Technical Report- Not held in TRLN member libraries. Lee, S., & Tuck, J. (2008). Parallelizing Mudflap Using Thread-Level Speculation on a CMP. Workshop on Parallel Execution of Sequential Programs on Multi-core Architectures. Lee, S., & Tuck, J. (2008). Parallelizing Mudflap using Thread-Level Speculation on a Chip Multiprocessor. Proc. of the 2008 Workshop on Parallel Execution of Sequential Programs on Multicore Architectures, 72–80. Tuck, J., Ahn, W., Ceze, L., & Torrellas, J. (2008). SoftSig: Software Exposed Hardware Signatures for Code Analysis and Optimization. ACM International Symposium on Architectural Support for Programming Languages and Operating Systems, 145–156. https://doi.org/10.1145/1346281.1346300 Tuck, J., Ahn, W., Ceze, L., & Torrellas, J. (2008). SoftSig: software-exposed hardware signatures for code analysis and optimization. ACM SIGOPS Operating Systems Review, 42(2), 145–156. System and method for cache coherency in a cache with different cache location lengths. (2008, November). Ceze, L., Tuck, J., Montesinos, P., & Torrellas, J. (2007). BulkSC: Bulk enforcement of sequential consistency. Proceedings of the 34th annual international symposium on Computer architecture, 278–289. https://doi.org/10.1145/1250662.1250697 Tuck, J., Liu, W., & Torrellas, J. (2007). CAP: Criticality analysis for power-efficient speculative multithreading. 2007 25th International Conference on Computer Design, 409–416. https://doi.org/10.1109/iccd.2007.4601932 Tuck, J. M. (2007). Efficient support for speculative tasking. Ceze, L., Tuck, J., & Torrellas, J. (2006). Are We Ready for High Memory-Level Parallelism? Workshop on Memory Performance Issues. Ceze, L., Tuck, J., & Torrellas, J. (2006). Are we ready for high memorylevel parallelism? 4th Workshop on Memory Performance Issues. Wang, K.-feng, Ji, Z.-zhou, & Hu, M.-zeng. (2006). Boosting SMT trace processors performance with data cache misssensitive thread scheduling mechanism. Microprocessors and Microsystems, 30(5), 225–233. Ceze, L., Tuck, J., Cascaval, C., & Torrellas, J. (2006). Bulk Disambiguation of Speculative Threads in Multiprocessors. IEEE/ACM Annual International Symposium on Computer Architecture, 227–238. Ceze, L., Tuck, J., Torrellas, J., & Cascaval, C. (2006). Bulk disambiguation of speculative threads in multiprocessors. ACM SIGARCH Computer Architecture News, 34(2), 227–238. Ceze, L., Strauss, K., Tuck, J., Torrellas, J., & Renau, J. (2006). CAVA: Using checkpoint-assisted value prediction to hide L2 misses. ACM Transactions on Architecture and Code Optimization (TACO), 3(2), 182–208. https://doi.org/10.1145/1138035.1138038 Nenau, J., Strauss, K., Ceze, L., Liu, W., Sarangi, S., Tuck, J., & Torrellas, J. (2006). Energy-Efficient Thread-Level Speculation on a CMP. IEEE Micro Special Issue: Micro's Top Picks from Computer Architecture Conferences, 80–91. Renau, J., Strauss, K., Ceze, L., Liu, W., Sarangi, S. R., Tuck, J., & Torrellas, J. (2006). Energy-efficient thread-level speculation. IEEE Micro, 26(1), 80–91. Liu, W., Tuck, J., Ceze, L., Ahn, W., Strauss, K., Renau, J., & Torrellas, J. (2006). POSH: a TLS compiler that exploits program structure. Proceedings of the eleventh ACM SIGPLAN symposium on Principles and practice of parallel programming, 158–167. https://doi.org/10.1145/1122971.1122997 Tuck, J., Ceze, L., & Torrellas, J. (2006). Scalable cache miss handling for high memory-level parallelism. 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), 409–422. https://doi.org/10.1109/micro.2006.44 Hughes, C., Tuck, J., Lee, V., & Chen, Y.-kuang. (2006, June). System and method for non-uniform cache in a multi-core processor. Tseng, B. P. C.-W. (2005). Languages and compilers for parallel computing. Liu, W., Tuck, J., Ceze, L., Strauss, K., Renau, J., & Torrellas, J. (2005). POSH: A profiler-enhanced TLS compiler that leverages program structure. IBM Watson P= AC2 Conference, 83–92. Renau, J., Fraguela, B., Tuck, J., Liu, W., Prvulovic, M., Ceze, L., … Montesinos, P. (2005). SESC simulator, January 2005. Renau, J. T., J., W., L., C., L., S., K., & Torrellas, J. (2005). Tasking with Out-of-Order Spawn in TLS Chip Multiprocessors: Microarchitecture and Compilation. ACM International Conference on Supercomputing, 179–188. https://doi.org/10.1145/1088149.1088173 Renau, J., Tuck, J., Liu, W., Ceze, L., Strauss, K., & Torrellas, J. (2005). Tasking with out-of-order spawn in TLS chip multiprocessors: Microarchitecture and compilation. Proceedings of the 19th Annual International conference on Supercomputing, 179–188. Renau, J., Strauss, K., Ceze, L., Liu, W., Sarangi, S., Tuck, J., & Torrellas, J. (2005). Thread-level speculation on a CMP can be energy efficient. Proceedings of the 19th annual international conference on Supercomputing, 219–228. https://doi.org/10.1145/1088149.1088178 Ceze, L., Strauss, K., Tuck, J., & Torrellas, J. (2004). CAVA: Hiding L2 Misses with Checkpoint-Assisted Value Prediction. IEEE Computer Architecture Letters, 7–10. Ceze, L., Strauss, K., Tuck, J., Renau, J., & Torrellas, J. (2004). CAVA: Hiding L2 misses with checkpoint-assisted value prediction. IEEE Computer Architecture Letters, 3(1), 7–7. Tuck, J. M. (2003). A novel compiler framework for a chip-multiprocessor architecture with thread-level speculation. University of Illinois at Urbana-Champaign. Renau, J., Tuck, J., Liu, W., & Torrellas, J. (2002). Morphable multithreaded memory tiles (M3T) architecture. University of Illinois UIUC-CS Technical Report. Tuck, J. M., Baugh, L. W., Renau, J., & Torrellas, J. (2002). Sphinx Parallelization. Baugh, L., Renau, J., Tuck, J., & Torrellas, J. (2002). Sphinx parallelization. Dept. of Computer Science, University of Illinois, Tech. Rep. UIUCDCS. Gray, J., Bapty, T., Neema, S., & Tuck, J. (2001). Handling crosscutting constraints in domain-specific modeling. Communications of the ACM, 44(10), 87–93. Gray, J., Bapty, T., Neema, S., & Tuck, J. (2001). Handling crosscutting constraints in domain-specific modeling - Uniting AOP with model-integrated computing. COMMUNICATIONS OF THE ACM, 44(10), 87–93. https://doi.org/10.1145/383845.383864 Tuck, J., & Bapty, T. (2001). Institute for Software Integrated Systems Vanderbilt University Nashville Tennessee 37235. ISIS, 1, 200. Patsilaras, G., Lee, S., & Tuck, J. Abstract Parallel Operators: Revamping the Hardware/Software Interface for the Multicore Era. Vanka, R., & Tuck, J. Improving MemoiSE Using Function Splitting. Improving MemoiSE via Function Splitting. In Technical Report- Not held in TRLN member libraries (Vol. 2009). Akin, B., Baghsorkhi, S., Bai, Y., Fletcher, C., Healy, M., Huang, M., … others. Industry Session Program Committee. Tuck, J., & Torrellas, J. Tasking with out-of-order spawn in TLS chip multiprocessors. Strauss, J. R. K., Ceze, L., Liu, W., Sarangi, S., Tuck, J., & Torrellas, J. Thread-Level Speculation on a CMP Can Be Energy Efficient.