TY - CHAP TI - Interface reactions during oxygen plasma assisted chemical vapor deposition of yttrium oxide on silicon AU - Niu, D AU - Ashcraft, RW AU - Stemmer, S AU - Parsons, GN AU - Huff, HR AU - Fabry, L AU - Kishino, S T2 - Semiconductor Silicon 2002, Vols 1 and 2 PY - 2002/// VL - 2002 SP - 429-439 PB - SE - UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000180812100038&KeyUID=WOS:000180812100038 ER - TY - CONF TI - Nanocrystalline diamond in Ru-doped DLC films AU - Lian, GD AU - Dickey, EC AU - Ueno, M AU - Sunkara, MK C2 - 2002/1/1/ C3 - Microscopy and Microanalysis DA - 2002/1/1/ DO - 10.1017/s1431927602103801 VL - 8 SP - 1146-1147 M1 - SUPPL. 2 UR - https://app.dimensions.ai/details/publication/pub.1113963831 ER - TY - CONF TI - Grain boundary segregation in titanium dioxide AU - Wang, Q AU - Lian, G AU - Dickey, EC C2 - 2002/1/1/ C3 - Microscopy and Microanalysis DA - 2002/1/1/ DO - 10.1017/s1431927602108026 VL - 8 SP - 1170-1171 M1 - SUPPL. 2 UR - https://app.dimensions.ai/details/publication/pub.1113964252 ER - TY - CONF TI - Structure characterization of ZnSe/GaMnAs quantum well on GaAs substrate AU - Lian, G AU - Dickey, E AU - Chun, SH AU - Samarth, N AB - Journal Article Structure Characterization of ZnSe/GaMnAs Quantum Well on GaAs Substrate Get access G D Lian, G D Lian Department of Materials Science and Engineering, The Pennsylvania State University, University Park, PA 16802 Search for other works by this author on: Oxford Academic Google Scholar E C Dickey, E C Dickey Department of Materials Science and Engineering, The Pennsylvania State University, University Park, PA 16802 Search for other works by this author on: Oxford Academic Google Scholar S H Chun, S H Chun Department of Physics, The Pennsylvania State University, University Park, PA 16802 Search for other works by this author on: Oxford Academic Google Scholar N Samarth N Samarth Department of Physics, The Pennsylvania State University, University Park, PA 16802 Search for other works by this author on: Oxford Academic Google Scholar Microscopy and Microanalysis, Volume 8, Issue S02, 1 August 2002, Pages 1620–1621, https://doi.org/10.1017/S1431927602104685 Published: 01 August 2002 C2 - 2002/1/1/ C3 - Microscopy and Microanalysis DA - 2002/1/1/ DO - 10.1017/s1431927602104685 VL - 8 SP - 1620-1621 M1 - SUPPL. 2 UR - https://app.dimensions.ai/details/publication/pub.1113963918 ER - TY - JOUR TI - A non-traditional vapor-liquid-solid method for bulk synthesis of semiconductor nanowires AU - Sharma, S AU - Sunkara, MK T2 - MRS Online Proceedings Library DA - 2002/// PY - 2002/// VL - 703 SP - 123-128 ER - TY - JOUR TI - Thermal resistance of interfaces in AlN-diamond thin film composites AU - Jagannadham, K. AU - Wang, H. T2 - Journal of Applied Physics AB - The effective thermal conductivity of single and multilayer AlN/diamond composite films deposited on silicon substrate with a planar interface is determined experimentally. As a result of the small thickness and the good crystalline quality of the AlN and diamond films, the contribution to the effective thermal resistivity from the films remained very small and enabled the evaluation of the thermal barrier resistance associated with the interfaces. The interfacial thermal resistance of AlN/Si, diamond/Si, and AlN/diamond interfaces was evaluated from the experimental measurements of the effective thermal conductivity of the layered structures. The results show that amorphous regions formed along the interfaces are responsible for high thermal resistance in the layered structures. Modeling of the interfacial thermal resistance has been carried out to explain the effective thermal conductivity of the single and multilayer AlN/diamond composite films in terms of the microstructure of the interfaces. DA - 2002/// PY - 2002/// DO - 10.1063/1.1428103 VL - 91 IS - 3 SP - 1224-1235 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0036469393&partnerID=MN8TOARS ER - TY - JOUR TI - Novel heat spreader coatings for high power electronic devices AU - Jagannadham, K. AU - Watkins, T.R. AU - Dinwiddie, R.B. T2 - Journal of Materials Science DA - 2002/// PY - 2002/// DO - 10.1023/A:1014568512077 VL - 37 IS - 7 SP - 1363-1376 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0036531178&partnerID=MN8TOARS ER - TY - JOUR TI - Microstructure and thermoelectric properties of p-type Bi0.5Sb1.5Te3 and n-type Bi2Te2.7Se0.3 films deposited by pulsed laser ablation AU - Makala, R.S. AU - Jagannadham, K. AU - Sales, B.C. AU - Wang, H. T2 - Materials Research Society Symposium - Proceedings DA - 2002/// PY - 2002/// VL - 691 SP - 189-194 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0036352116&partnerID=MN8TOARS ER - TY - CONF TI - Diamond coated WC tools for machining wood and particle board AU - Makala, R.S. AU - Yoganand, S.N. AU - Jagannadham, K. AU - Lemaster, R.L. AU - Bailey, J. C2 - 2002/// C3 - Materials Research Society Symposium - Proceedings DA - 2002/// VL - 697 SP - 347-352 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0036450231&partnerID=MN8TOARS ER - TY - JOUR TI - Photochemical induced effects in material ejection in laser ablation AU - Yingling, Yaroslava G AU - Garrison, Barbara J T2 - Chemical Physics Letters AB - Molecular dynamics simulations are used to investigate the effect of photochemical processes on molecular ejection mechanisms in laser ablation of organic solids. The presence of photochemical decomposition processes and subsequent chemical reactions changes the temporal and spatial energy deposition profile from pure photothermal ablation. A strong and broad acoustic wave propagation results and this pressure wave in conjunction with the temperature increase in the absorbing region causes the ejection of hot massive molecular clusters. These massive clusters later disintegrate in the plume into the smaller clusters and monomers due to ongoing chemical reactions. DA - 2002/10// PY - 2002/10// DO - 10.1016/s0009-2614(02)01327-1 VL - 364 IS - 3-4 SP - 237-243 J2 - Chemical Physics Letters LA - en OP - SN - 0009-2614 UR - http://dx.doi.org/10.1016/s0009-2614(02)01327-1 DB - Crossref ER - TY - JOUR TI - Superhard B-C-N materials synthesized in nanostructured bulks AU - ZHAO, Y AU - HE, DW AU - DAEMEN, LL AU - al., T2 - JOURNAL OF MATERIALS RESEARCH DA - 2002/// PY - 2002/// VL - 17 IS - 12 SP - 3139-3145 ER - TY - JOUR TI - Shear strain accommodation during severe plastic deformation of titanium using equal channel angular pressing AU - SHIN, DH AU - KIM, I AU - KIM, J AU - al., T2 - MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING DA - 2002/// PY - 2002/// VL - 334 IS - 1-2 SP - 239-245 ER - TY - JOUR TI - Rietveld refinement of crystal chemistry of RBa4Cu3O8.5+delta (R = rare earth) AU - ZHU, YT AU - SHU, L AU - PETERSON, EJ AU - al., T2 - JOURNAL OF PHYSICS AND CHEMISTRY OF SOLIDS DA - 2002/// PY - 2002/// VL - 63 IS - 1 SP - 23-29 ER - TY - JOUR TI - Paradox of strength and ductility in metals processed by severe plastic deformation AU - VALIEV, RZ AU - ALEXANDROV, , IV AU - ZHU, YT AU - al., T2 - JOURNAL OF MATERIALS RESEARCH DA - 2002/// PY - 2002/// VL - 17 IS - 1 SP - 5-8 ER - TY - JOUR TI - Observation of coherent oxide precipitates in polycrystalline MgB2 AU - KLIE, RF AU - IDROBO, JC AU - BROWNING, ND AU - al., T2 - APPLIED PHYSICS LETTERS DA - 2002/// PY - 2002/// VL - 80 IS - 21 SP - 3970-3972 ER - TY - JOUR TI - Kinetics and products of molybdenum disilicide powder oxidation AU - ZHU, YT AU - SHU, L AU - BUTT, DP T2 - JOURNAL OF THE AMERICAN CERAMIC SOCIETY DA - 2002/// PY - 2002/// VL - 85 IS - 2 SP - 507-509 ER - TY - JOUR TI - Influence of microstructures and crystalline defects on the superconductivity of MgB2 AU - SERQUIS, A AU - LIAO, XZ AU - ZHU, YT AU - al., T2 - JOURNAL OF APPLIED PHYSICS DA - 2002/// PY - 2002/// VL - 92 IS - 1 SP - 351-356 ER - TY - JOUR TI - High-resolution transmission electron microscopy study of defects and interfaces in epitaxial TiO2 films on sapphire and LaAlO3 AU - HUANG, JY AU - PARK, BH AU - JAN, D AU - al., T2 - PHILOSOPHICAL MAGAZINE A-PHYSICS OF CONDENSED MATTER STRUCTURE DEFECTS AND MECHANICAL PROPERTIES DA - 2002/// PY - 2002/// VL - 82 IS - 4 SP - 735-749 ER - TY - JOUR TI - Degradation of MgB2 under ambient environment AU - SERQUIS, A AU - ZHU, YT AU - PETERSON, DE AU - al., T2 - APPLIED PHYSICS LETTERS DA - 2002/// PY - 2002/// VL - 80 IS - 23 SP - 4401-4403 ER - TY - JOUR TI - Controlling flux pinning precipitates during MgB2 synthesis AU - LIAO, XZ AU - SERQUIS, AC AU - ZHU, YT AU - al., T2 - APPLIED PHYSICS LETTERS DA - 2002/// PY - 2002/// VL - 80 IS - 23 SP - 4398-4400 ER - TY - JOUR TI - Bone-shaped short fiber composites - an overview AU - ZHU, YT AU - BEYERLEIN, IJ T2 - MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING DA - 2002/// PY - 2002/// VL - 326 IS - 2 SP - 208-227 ER - TY - JOUR TI - Atomic-scale structural investigations on the nucleation of cubic boron nitride from amorphous boron nitride under high pressures and temperatures AU - HUANG, JY AU - ZHU, YT T2 - CHEMISTRY OF MATERIALS DA - 2002/// PY - 2002/// VL - 14 IS - 4 SP - 1873-1878 ER - TY - JOUR TI - Thin film metallic catalyst coatings for the growth of multiwalled carbon nanotubes by pyrolysis of xylene AU - Kichambare, PD AU - Qian, D AU - Dickey, EC AU - Grimes, CA T2 - Carbon AB - Thin film metallic coatings applied to alumina and silicon substrates are investigated for their use as a catalyst to help grow high-quality multiwall carbon nanotubes (MWNTs). Substrate coatings examined include Fe, Tb:Fe, Ni, Cu, and Ni:Fe, with xylene used as the hydrocarbon source. Coating the substrate with Tb90Fe10 and Ni80Fe20 facilitated dense and uniform growth of MWNTs without graphitic particles; Ni and Fe substrate coatings produced graphitic particles in addition to the MWNTs, while Tb and Cu were found to be completely inactive with no MWNT growth. Many of the MWNTs grown over Ni:Fe have a helical appearance, while the MWNTs grown over Tb90Fe10 did not contain catalyst particles DA - 2002/// PY - 2002/// DO - 10.1016/S0008-6223(02)00033-7 VL - 40 IS - 11 SP - 1903-1909 UR - https://app.dimensions.ai/details/publication/pub.1022963183 KW - carbon nanotubes KW - chemical vapor deposition KW - pyrolysis KW - sputtering ER - TY - JOUR TI - Self-assembled fabrication of aluminum-silicon nanowire networks AU - Paulose, M AU - Grimes, CA AU - Varghese, OK AU - Dickey, EC T2 - Applied Physics Letters AB - Uniquely structured two-dimensional aluminum–silicon alloy nanowire networks are fabricated on glass and silicon substrates by dealloying an aluminum–silicon thin film through selective chemical etching. The nanowire network is comprised of 3–6 nm diameter wires with lengths of 50–200 nm, and a wire density of approximately 1010 wires/cm2. The spatial extent of the fabricated nanowire network is limited only by the substrate dimensions. Current–voltage measurements reveal the metallic nature of the nanowires, with current propagating throughout the nanowire network. The fabrication route offers the possibility for achieving billion wire/cm2 devices as a relatively low cost production commodity. DA - 2002/// PY - 2002/// DO - 10.1063/1.1492005 VL - 81 IS - 1 SP - 153-155 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000176426000052&KeyUID=WOS:000176426000052 ER - TY - JOUR TI - Ru-doped nanostructured carbon films AU - Lian, GD AU - Dickey, EC AU - Ueno, M AU - Sunkara, MK T2 - Diamond and Related Materials AB - Pure and Ru-doped carbon films are deposited on Si (100) substrates by electron cyclotron resonance chemical vapor deposition. The films are characterized by transmission electron microscopy, electron energy loss spectroscopy, energy dispersive X-ray spectroscopy and atomic force microscopy. In both the pure and Ru-doped samples, diamond nanocrystallites are formed in amorphous carbon matrices. The Ru-doped film contains much smaller diamond nanocrystallites (approx. 3 nm) than the pure samples (approx. 11 nm). Lower surface roughnesses are observed in both pure and Ru-doped samples as compared to other reported nanocrystalline diamond films. The conductivity of the Ru-doped film is significantly higher than that of the pure film. The results show that Ru-doped nanocrystalline diamond films have unique structures and properties as compared to pure nanocrystalline diamond films or metal doped diamond-like carbon films, which may offer advantages for electrochemical, optical-window, field emission or tribological applications. DA - 2002/// PY - 2002/// DO - 10.1016/S0925-9635(02)00165-6 VL - 11 IS - 12 SP - 1890-1896 UR - https://app.dimensions.ai/details/publication/pub.1046880742 KW - cyclotron resonance chemical vapor deposition (CVD) KW - nanocrystalline diamond (NCD) films KW - Ru-doped KW - electron microscopy KW - electron energy loss spectroscopy ER - TY - JOUR TI - Room temperature ammonia and humidity sensing using highly ordered nanoporous alumina films AU - Dickey, EC AU - Varghese, OK AU - Ong, KG AU - Gong, DW AU - Paulose, M AU - Grimes, CA T2 - Sensors AB - The effect of pore size and uniformity on the response of nanoporous alumina, formed on aluminum thick films through an anodization process, to ammonia and humidity at room temperature is reported. Pore sizes examined range from 13 nm to 48 nm, with pore size standard deviations ranging from 2.6 nm to 7.8 nm. The response of the material to ammonia and humidity is a strong function of pore size and operating frequency. At 5 kHz an alumina sensor with an average pore size of 13.6 nm, standard deviation 2.6 nm, exhibits a factor of two change in impedance magnitude as it is cycled between an ammonia and argon environment. At 5 kHz the same sensor exhibits a well-behaved change in impedance magnitude of 103 over 20% to 90% relative humidity. Cole-Cole plots of the 5 Hz to 13 MHz measured impedance spectra, modeled using equivalent circuits, are used to resolve the effects of adsorption and ion migration. DA - 2002/// PY - 2002/// DO - 10.3390/s20300091 VL - 2 IS - 3 SP - 91-110 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000182217000003&KeyUID=WOS:000182217000003 KW - ammonia KW - nanoporous KW - impedance spectroscopy KW - alumina KW - humidity KW - mesoporous ER - TY - JOUR TI - Molybdenum thin-film growth on rutile titanium dioxide (110) AU - Blondeau-Patissier, V AU - Lian, GD AU - Domenichini, B AU - Steinbrunn, A AU - Bourgeois, S AU - Dickey, EC T2 - Surface Science AB - Molybdenum films were deposited at room temperature on rutile TiO2(1 1 0) surfaces having different stoichiometries, surface roughnesses and crystallinities. The film structures and compositions and the substrate–film interfaces were investigated by X-ray diffraction, high-resolution transmission electron microscopy and energy-dispersive X-ray spectroscopy. Different substrate pretreatments resulted in markedly different film and interface structures. Under the growth conditions studied, no amorphous molybdenum oxide interlayers were formed upon deposition in contrast to previous studies. Preferred (1 1 0) textured Mo films grew on both air-annealed and oxygen-bombarded substrates. While sharp substrate–film interfaces were observed in the air-annealed samples, oxygen bombardment led to a rough interface. Epitaxial growth was achieved on argon-bombarded substrates, and a single crystal TiO interlayer was present as a result of the substrate pretreatment. The orientation relationship among three crystalline layers was: Mo(2 0 0)[0 0 1]//TiO(2 0 0)[0 1 1]//TiO2(1 1 0)[0 0 1]. Even though the growth was epitaxial, the argon bombardment resulted in a rough interface between the substrate and the TiO interlayer and between TiO and the Mo film. The results are compared with previous data on thin Mo film growth (⩽3 ML) on rutile TiO2(1 1 0), and the structural evolution is discussed. DA - 2002/// PY - 2002/// DO - 10.1016/S0039-6028(02)01383-3 VL - 506 IS - 1-2 SP - 119-128 UR - https://app.dimensions.ai/details/publication/pub.1004871790 KW - electron microscopy KW - X-ray scattering, diffraction, and reflection KW - X-ray photoelectron spectroscopy KW - molybdenum KW - epitaxy KW - growth KW - titanium oxides KW - ion bombardment ER - TY - JOUR TI - Highly ordered nanoporous alumina films: Effect of pore size and uniformity on sensing performance AU - Varghese, OK AU - Gong, DW AU - Paulose, M AU - Ong, KG AU - Grimes, CA AU - Dickey, EC T2 - Journal of Materials Research DA - 2002/// PY - 2002/// DO - 10.1557/JMR.2002.0172 VL - 17 IS - 5 SP - 1162-1171 UR - https://app.dimensions.ai/details/publication/pub.1022859229 ER - TY - JOUR TI - Fabrication of nanoporous TiO2 films through Benard-Marangoni convection AU - Singh, RS AU - Grimes, CA AU - Dickey, EC T2 - Materials Research Innovations AB - Fabrication of nanoporous TiO2 thin films through Benard-Marangoni convection is reported. Variation of pore size and morphology in the sol-gel deposited metal-oxide films is investigated as a function of ambient humidity and air flow velocity during film drying, sol concentration, and addition of water/acid to the sol. DA - 2002/// PY - 2002/// DO - 10.1007/s10019-002-8643-5 VL - 5 IS - 3-4 SP - 178-184 UR - https://app.dimensions.ai/details/publication/pub.1012750186 KW - metal-oxide KW - sol-gel KW - nanoporous KW - evaporation KW - Benard-Marangoni convection ER - TY - JOUR TI - Ab initio calculations of pristine and doped zirconia Σ5 (310)/[001] tilt grain boundaries AU - Mao, ZG AU - Sinnott, SB AU - Dickey, EC T2 - Journal of The American Ceramic Society AB - The structure of the cubic‐ZrO 2 symmetrical tilt Σ5 (310)/[001] grain boundary is examined using density functional theory within the local density and pseudopotential approximations. Several pristine stoichiometric grain‐boundary structures are investigated and compared with Z‐contrast scanning transmission electron microscopy and electron energy loss spectroscopy results. The lowest‐energy grain‐boundary structure is found to agree well with the experimental data. When Y 3+ is substituted for Zr 4+ at various sites in the lowest‐energy grain‐boundary structure, the calculations indicate that Y 3+ segregation to the grain boundary is energetically preferred to bulk doping, in agreement with experimental results. DA - 2002/// PY - 2002/// DO - 10.1111/j.1151-2916.2002.tb00317.x VL - 85 IS - 6 SP - 1594-1600 UR - https://app.dimensions.ai/details/publication/pub.1039542781 ER - TY - JOUR TI - Preparation, characterization and applications of free-standing single walled carbon nanotube thin films AU - HENNRICH, F AU - LEBEDKIN, S AU - MALIK, S AU - TRACY, J AU - BARCZEWSKI, M AU - ROSNER, H AU - KAPPES, M T2 - PHYSICAL CHEMISTRY CHEMICAL PHYSICS AB - A method for the reliable fabrication of less than 200 nm thick, free-standing purified-SWNT films having large surface areas exceeding several cm2 is described. Films were characterized using a variety of optical, microscopic and spectroscopic methods. The procedure was also used to prepare thin films of as-prepared, acid-cut and octadecylamine (ODA) functionalized SWNTs. Such samples allow facile transmission measurements of SWNT derived solids. DA - 2002/// PY - 2002/// DO - 10.1039/b201570f VL - 4 IS - 11 SP - 2273-2277 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000175708800035&KeyUID=WOS:000175708800035 ER - TY - PAT TI - Ultrananocrystalline diamond cantilever wide dynamic range acceleration/vibration/pressure sensor AU - Krauss, A. R. AU - Gruen, D. M. AU - Pellin, M. J. AU - Auciello, O. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Field emission from bias-grown diamond thin films in a microwave plasma AU - Gruen, D. M. AU - Krauss, A. R. AU - Ding, M. Q. AU - Auciello, O. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Thin film optical measurement system and method with calibrating ellipsometer AU - Aspnes, D. E. AU - Opsal, J. AU - Faton, J. T. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Broadband spectroscopic rotating compensator ellipsometer AU - Aspnes, D. E. AU - Opsal, J. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Structures and methods for enhancing capacitors in integrated circuits AU - Basceri, C. AU - Sandhu, G. S. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Methods of fabricating gallium nitride semiconductor layers on substrates including non-gallium nitride posts AU - Linthicum, K. J. AU - Gehrke, T. AU - Davis, R. F. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Methods for forming and integrated circuit structures containing enhanced-surface-area conductive layers AU - Basceri, C. AU - Visokay, M. AU - Graettinger, T. M. AU - Cummings, S. D. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Method for improving the sidewall stoichiometry of thin film capacitors AU - Basceri, C. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Integrated capacitors fabricated with conductive metal oxides AU - Rhodes, H. E. AU - Visokay, M. AU - Graettinger, T. AU - Gealy, D. AU - Sandhu, G. AU - Basceri, C. AU - Cummings, S. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Dielectric films and methods of forming same AU - Basceri, C. AU - Gealy, D. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor AU - Basceri, C. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Capacitor processing method and DRAM processing method AU - Basceri, C. AU - Sandhu, G. S. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Ferroelectric film property measuring device, measuring method therefor and measuring method for semiconductor memory units AU - Gruverman, A. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - JOUR TI - Weak-localization effect in single crystal TaN(001) films AU - Tiwari, A AU - Wang, H AU - Kumar, D AU - Narayan, J T2 - MODERN PHYSICS LETTERS B AB - We here report the manifestation of weak localization effects in the electrical resistivity of TaN (001) films grown on MgO (001) substrates by a pulsed laser deposition technique. These films were characterized by X-ray diffraction and Rutherford backscattering. High precision electrical resistivity measurements were performed on these films in the temperature range 12–300 K. A careful analysis of data showed these films to lie in the weakly localized regime with negative temperature coefficient of resistivity throughout the whole temperature range of study. A crossover from 2D localization at lower temperatures to 3D localization at higher temperatures was observed. DA - 2002/12/20/ PY - 2002/12/20/ DO - 10.1142/s0217984902004688 VL - 16 IS - 28-29 SP - 1143-1149 SN - 0217-9849 KW - correlated electronic system KW - weak localization KW - electron-electron interaction KW - metal-insulator transition ER - TY - JOUR TI - Topological similarity of sponge-like bicontinuous morphologies differing in length scale AU - Jinnai, H AU - Nishikawa, Y AU - Ito, M AU - Smith, SD AU - Agard, DA AU - Spontak, RJ T2 - ADVANCED MATERIALS AB - Sponge-like bicontinuous morphologies (see Figure and also cover) are ubiquitous in the physical and biological sciences, but are only qualitatively understood in terms of their structure. Here, 3D imaging techniques are used to explore the characteristics of such morphologies at the nanoscale, microscale, and macroscale. Comparison of local and global topology metrics provides quantitative evidence of similarities between these morphologies. DA - 2002/11/18/ PY - 2002/11/18/ DO - 10.1002/1521-4095(20021118)14:22<1615::AID-ADMA1615>3.0.CO;2-S VL - 14 IS - 22 SP - 1615-1618 SN - 1521-4095 ER - TY - PAT TI - Pendeoepitaxial gallium nitride semiconductor layers on silicon carbide substrates AU - Linthicum, K. J. AU - Gehrke, T. AU - Thomson, D. B. AU - Carlson, E. P. AU - Rajagopal, P. AU - Davis, R. F. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Methods of forming compound semiconductor layers using spaced trench arrays and semiconductor substrates formed thereby AU - Gehrke, T. AU - Linthicum, K. J. AU - Davis, R. F. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - JOUR TI - Improvements in focused ion beam micromachining of interconnect materials AU - Gonzalez, JC AU - Silva, MIN AU - Griffis, DP AU - Russell, PE T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - Focused ion beam micromachining (FIBM) of integrated circuits continues to be an important tool for design debug, editing, and verification; for metrology; and for process control. FIBM of copper interconnects has presented challenges not faced when micromachining aluminum interconnects and the introduction of low-k dielectrics present additional challenges. A new approach to chemically assisted FIBM of thin film Cu, SiO2, and SiLK low-k material using polar precursor molecules has been investigated. Polar alcohols were used to reduce the sputter rate of SiO2 and SiLK while having a minimal effect on the Cu sputter rate. A new FIBM process based on the reduction of the FIB Ga+ energy from the typical 25 to 15 keV is also introduced. The new low energy FIBM process was shown to increase the sputter rate of polycrystalline Cu with strong (111) crystallographic texture by a factor of 2.5. This increase in the sputter rate of Cu combined with a slight reduction of the sputter rate of SiO2 and SiLK results in a Cu/SiO2 selectivity of greater than 7 and a Cu/SiLK selectivity of approximately 3. These are the largest selectivity values reported until now for both systems. The Onderdelinden theory of single-crystal sputtering was used to explain this seemingly anomalous increase of the Cu sputter rate with the reduction of the FIB Ga+ energy. DA - 2002/// PY - 2002/// DO - 10.1116/1.1515310 VL - 20 IS - 6 SP - 2700-2704 SN - 2166-2746 ER - TY - JOUR TI - Hydrophobically modified associative polymer solutions: Rheology and microstructure in the presence of nonionic surfactants AU - English, RJ AU - Laurer, JH AU - Spontak, RJ AU - Khan, SA T2 - INDUSTRIAL & ENGINEERING CHEMISTRY RESEARCH AB - We report on the rheology and morphology of a hydrophobically modified alkali-swellable emulsion (HASE) polymer solubilized in alkaline media containing nonionic surfactants. The HASE polymer consists of complex alkylaryl hydrophobes composed of oligomeric nonylphenol condensates attached to a poly(ethyl acrylate-co-methacrylic acid) backbone. The complex linear viscoelastic response of the polymer in alkaline solution suggests an unentangled network with an appreciable fraction of microgel. The concentration and hydrophile−lipophile balance (HLB) of nonionic surfactants profoundly affect the solution rheology. A surfactant of high HLB inhibits the dynamic network connectivity of the HASE polymer, as demonstrated by reductions of both the steady-shear viscosity and the dynamic storage modulus. The shear-induced structuring previously reported for this polymer is also progressively diminished as the surfactant concentration is increased. In contrast, the addition of a low-HLB surfactant promotes system structuring, as evidenced by (i) increases in the shear viscosity and the high-frequency plateau modulus and (ii) retention of the ability to undergo shear-induced structuring. We also employ cryofracture-replication transmission electron microscopy for the first time with regard to HASE associative polymers to examine the morphological characteristics of selected systems. The morphology of the HASE polymer in both latex and solubilized form appears more complex than previously anticipated, and a reasonable interpretation of these new data is provided. DA - 2002/12/11/ PY - 2002/12/11/ DO - 10.1021/ie020409s VL - 41 IS - 25 SP - 6425-6435 SN - 0888-5885 ER - TY - PAT TI - High temperature pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates AU - Gehrke, T. AU - Linthicum, K. J. AU - Davis, R. F. AU - Thomson, D. B. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - JOUR TI - Generation of microcellular foams of PVDF and its blends using supercritical carbon dioxide in a continuous process AU - Siripurapu, S AU - Gay, YJ AU - Royer, , JR AU - DeSimone, JM AU - Spontak, RJ AU - Khan, SA T2 - POLYMER AB - Use of supercritical carbon dioxide (scCO2) as a blowing agent to generate microcellular polymer foams (MPFs) has recently received considerable attention due to environmental concerns associated with conventional organic blowing agents. While such foams derived from amorphous thermoplastics have been previously realized, semicrystalline MPFs have not yet been produced in a continuous scCO2 process. This work describes the foaming of highly crystalline poly(vinylidene fluoride) (PVDF) and its blends with amorphous polymers during extrusion. Foams composed of neat PVDF and immiscible blends of PVDF with polystyrene exhibit poor cell characteristics, whereas miscible blends of PVDF with poly(methyl methacrylate) (PMMA) yield foams possessing vastly improved morphologies. The results reported herein illustrate the effects of blend composition and scCO2 solubility on PVDF/PMMA melt viscosity, which decreases markedly with increasing PMMA content and scCO2 concentration. Morphological characterization of microcellular PVDF/PMMA foams reveals that the cell density increases as the PMMA fraction is increased and the foaming temperature is decreased. This study confirms that novel MPFs derived continuously from semicrystalline polymers in the presence of scCO2 can be achieved through judicious polymer blending. DA - 2002/9// PY - 2002/9// DO - 10.1016/S0032-3861(02)00407-X VL - 43 IS - 20 SP - 5511-5520 SN - 1873-2291 KW - supercritical carbon dioxide KW - microcellular foam KW - polymer blends ER - TY - JOUR TI - Z-contrast imaging of dislocation cores at the GaAs/Si interface AU - Lopatin, S AU - Pennycook, SJ AU - Narayan, J AU - Duscher, G T2 - APPLIED PHYSICS LETTERS AB - The interface between silicon and epitaxial GaAs thin film grown by metalorganic chemical vapor deposition was studied using atomic-resolution Z-contrast imaging. Z-contrast imaging provides chemical composition information and allows direct interpretation of micrographs without simulation. Three different types of dislocations were identified. As expected, a dangling bond was found in the atomic structure of the 60° dislocation. One of the observed 90° dislocations had the reconstructed atomic core structure (with no dangling bonds). The core structure of the other 90° dislocation exhibited a dangling bond. DA - 2002/10/7/ PY - 2002/10/7/ DO - 10.1063/1.1511808 VL - 81 IS - 15 SP - 2728-2730 SN - 0003-6951 ER - TY - JOUR TI - Voltage- and temperature-dependent gate capacitance and current model: Application to ZrO2 n-channel MOS capacitor AU - Fan, YY AU - Nieh, RE AU - Lee, JC AU - Lucovsky, G AU - Brown, GA AU - Register, LF AU - Banerjee, SK T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES AB - Based on the energy-dispersion relation in each region of the gate-dielectric-silicon system, a tunneling model is developed to understand the gate current as a function of voltage and temperature. The gate capacitance is self-consistently calculated from Schrodinger and Poisson equations subject to the Fermi-Dirac statistics, using the same band structure in the silicon as used for tunneling injection. Franz two-band dispersion is assumed in the dielectric bandgap. Using a Wentzel-Kramer-Brillouin (WKB)-based approach, direct and Fowler-Nordheim (FN) tunneling and thermionic emission are considered simultaneously. The model is implemented for both the silicon conduction and valence bands and both gate- and substrate-injected currents. ZrO/sub 2/ NMOSFETs were studied through temperature-dependent C/sub g/-V/sub g/ and I/sub g/-V, simulations. The extracted band gaps and band offsets of the ZrO/sub 2/- and interfacial-Zr-silicate-layer are found to be comparable with the reported values. The gate currents in ZrO/sub 2/-NMOSCAPs are found to be primarily contributed from the silicon conduction band and tunneling appears to be the most probable primary mechanism through the dielectric. Oscillations of gate currents and kinks of gate capacitance were observed near the flat-band in the experiments. These phenomena might be caused by the interface states. DA - 2002/11// PY - 2002/11// DO - 10.1109/TED.2002.804713 VL - 49 IS - 11 SP - 1969-1978 SN - 1557-9646 KW - high-K gate dielectric KW - leakage currents KW - MIS devices KW - MOSFETs KW - semiconductor device modeling KW - tunneling ER - TY - JOUR TI - Synthesis and atomic-level characterization of Ni nanoparticles in Al2O3 matrix AU - Kumar, D AU - Pennycook, SJ AU - Lupini, A AU - Duscher, G AU - Tiwari, A AU - Narayan, J T2 - APPLIED PHYSICS LETTERS AB - Single domain magnetic nickel nanocrystals were embedded in alumina matrix using a pulsed-laser deposition technique. Structural characterization carried out at the atomic level using scanning transmission electron microscopy with atomic number contrast (STEM-Z) in conjunction with electron energy loss spectroscopy have revealed that the Ni particles are well separated and have interfaces with the host matrix that are atomically sharp and free of any oxide layer. An excellent correlation was found between particle sizes determined theoretically from magnetization versus field data and experimentally using STEM-Z which indicates the absence of any magnetically dead layers on the Ni nanoparticles within an experimental error of 0.1 monolayer. DA - 2002/11/25/ PY - 2002/11/25/ DO - 10.1063/1.1525052 VL - 81 IS - 22 SP - 4204-4206 SN - 0003-6951 ER - TY - JOUR TI - Study of fusion bonding of diamond to silicon for silicon-on-diamond technology AU - Yushin, GN AU - Wolter, SD AU - Kvit, AV AU - Collazo, R AU - Stoner, BR AU - Prater, JT AU - Sitar, Z T2 - APPLIED PHYSICS LETTERS AB - Views Icon Views Article contents Figures & tables Video Audio Supplementary Data Peer Review Share Icon Share Twitter Facebook Reddit LinkedIn Tools Icon Tools Reprints and Permissions Cite Icon Cite Search Site Citation G. N. Yushin, S. D. Wolter, A. V. Kvit, R. Collazo, B. R. Stoner, J. T. Prater, Z. Sitar; Study of fusion bonding of diamond to silicon for silicon-on-diamond technology. Appl. Phys. Lett. 21 October 2002; 81 (17): 3275–3277. https://doi.org/10.1063/1.1516636 Download citation file: Ris (Zotero) Reference Manager EasyBib Bookends Mendeley Papers EndNote RefWorks BibTex toolbar search Search Dropdown Menu toolbar search search input Search input auto suggest filter your search All ContentAIP Publishing PortfolioApplied Physics Letters Search Advanced Search |Citation Search DA - 2002/10/21/ PY - 2002/10/21/ DO - 10.1063/1.1516636 VL - 81 IS - 17 SP - 3275-3277 SN - 0003-6951 ER - TY - JOUR TI - Reactions of Y2O3 films with (001) Si substrates and with polycrystalline Si capping layers AU - Stemmer, S AU - Klenov, DO AU - Chen, ZQ AU - Niu, D AU - Ashcraft, RW AU - Parsons, GN T2 - APPLIED PHYSICS LETTERS AB - We use electron energy-loss spectroscopy in scanning transmission electron microscopy to investigate interfacial reactions of chemical vapor deposited Y2O3 films with the Si substrate and with in situ polycrystalline Si (“poly-Si”) capping layers after postdeposition annealing. We find that in situ capping layers significantly reduce the formation of SiO2 at the interface with the substrate, but silicates form at the substrate and the capping layer interfaces. Predeposition nitridation of the Si surface can impede the reaction at the substrate interface, resulting in crystallization of Y2O3 in the film interior. Possible mechanisms of the silicate formation are discussed. DA - 2002/7/22/ PY - 2002/7/22/ DO - 10.1063/1.1496500 VL - 81 IS - 4 SP - 712-714 SN - 1077-3118 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000176871600048&KeyUID=WOS:000176871600048 ER - TY - JOUR TI - Pseudodielectric functions of InGaAs alloy films grown on InP AU - Kim, TJ AU - Ihn, YS AU - Kim, YD AU - Kim, SJ AU - Aspnes, DE AU - Yao, T AU - Shim, K AU - Koo, BH T2 - APPLIED PHYSICS LETTERS AB - We present room-temperature pseudodielectric function spectra 〈ε〉 of InxGa1−xAs films grown on (100) InP by solid-source molecular-beam epitaxy. A wet-chemical etching procedure is used to remove overlayers and obtain the best approximation to the bulk dielectric responses ε of the films. By line shape fitting, we determined the x dependences of the E1 and E1+Δ1 critical-point energies and that of the Δ1 bowing parameter. The results are in good agreement with the predictions of a universal tight-binding model. DA - 2002/9/23/ PY - 2002/9/23/ DO - 10.1063/1.1509093 VL - 81 IS - 13 SP - 2367-2369 SN - 0003-6951 ER - TY - JOUR TI - Probing the AlxGa1-xN spatial alloy fluctuation via UV-photoluminescence and Raman at submicron scale AU - Bergman, L AU - Chen, XB AU - McIlroy, D AU - Davis, RF T2 - APPLIED PHYSICS LETTERS AB - We present a straightforward method for the study of alloy spatial compositional distribution at the submicron scale via photoluminescence and Raman spectroscopy. The spatial dependence of the band gap light-emission energy of AlxGa1−xN alloys at composition 0⩽x⩽1 was studied via deep UV-photoluminescence and Raman microscopy in order to address the issue of the spatial alloy fluctuation. The data were acquired in a random fashion from an area of ∼1 mm2 on the sample at steps of ∼1–200 μm utilizing the 244 nm laser line of probing spot size ∼300 nm radius. Our study indicates that the photoluminescence emission energy exhibits random type variations depending on locality: the alloys of composition x=0.12, x=0.22, x=50, and x=0.70 exhibit average variations of ∼10, 30, 45, and 25 meV, respectively. The photoluminescence of the pure GaN exhibits no significant spatial fluctuation. The stress contribution to the observed photoluminescence fluctuations was investigated via Raman analysis and was taken into account in order to estimate the local compositional fluctuation Δx. Our results indicate that for the higher Al composition alloys x=0.50 and 0.70 the stress and the compositional fluctuation can be resolved, resulting in average spatial fluctuations of Δx=0.004 and 0.002, respectively. DA - 2002/11/25/ PY - 2002/11/25/ DO - 10.1063/1.1526918 VL - 81 IS - 22 SP - 4186-4188 SN - 1077-3118 ER - TY - JOUR TI - Modulated oscillatory hardening and dynamic recrystallization in cryomilled nanocrystalline Zn AU - Zhang, X AU - Wang, H AU - Scattergood, RO AU - Narayan, J AU - Koch, CC T2 - ACTA MATERIALIA AB - Abstract Oscillatory variation of the hardness with milling time was observed in cryomilled nanocrystalline Zn. Transmission electron microscopy showed that large variations in the dislocation density and grain-size distribution occurred during cryomilling. The observations suggest that recrystallization takes place in larger grains when the dislocation density due to strain-hardening reaches a critical level. A reaction-rate model was developed which accounts for the dynamic recrystallization effect and the observed oscillations in hardness. Good agreement was obtained with the experimental data. The results provide unique insight into grain-size effects and the interplay of these with deformation mechanisms and recrystallization effects in nanocrystalline materials. DA - 2002/9/20/ PY - 2002/9/20/ DO - 10.1016/S1359-6454(02)00199-4 VL - 50 IS - 16 SP - 3995-4004 SN - 1359-6454 KW - nanocrystalline KW - ball milling KW - cyclic hardening KW - zinc KW - dynamic recrystallization KW - model ER - TY - JOUR TI - Improved CVD diamond coatings on WC-Co tool substrates AU - Raghuveer, MS AU - Yoganand, SN AU - Jagannadham, K AU - Lemaster, RL AU - Bailey, J T2 - WEAR AB - Tungsten carbide tools with different cobalt concentrations (3 and 6%) have been treated with different surface cleaning procedures for deposition of diamond and multilayer diamond composite films. Cleaning with organic solvents, surface etching to remove cobalt from the surface, and hydrogen plasma etching to decarburize WC and etch remove cobalt have been used in combination to improve the adhesion of diamond films deposited on the tool substrates. Diamond layers are deposited by microwave plasma chemical vapor deposition (MPCVD) after introducing surface nucleation by suspension with sub-micron size diamond crystallites. TiN and TiC films are deposited as intermediate layers that prevent diffusion of cobalt or as embedding layers that also anchor diamond crystallites to the tool substrate. A continuous top layer of diamond was deposited for different periods of time (15–36 h) to obtain diamond film thickness ranging from 15 to 36 μm. The performance of diamond-coated tools has been tested by machining particleboard. The tool surfaces were characterized using measurements of wear of the cutting edge. Microstructural characterization using scanning electron microscopy (SEM) and transmission electron microscopy (TEM) of the composite layers on the tool surfaces is performed. The quantitative evaluation of wear and microstructural characterization were used to determine the mechanisms of wear of the cutting edge. The results are used to conclude the diamond tool coating procedure that provides the best performance in machining particleboard. DA - 2002/12// PY - 2002/12// DO - 10.1016/S0043-1648(02)00244-2 VL - 253 IS - 11-12 SP - 1194-1206 SN - 0043-1648 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0036951335&partnerID=MN8TOARS KW - tungsten carbide KW - CVD KW - diamond KW - TiN KW - TiC ER - TY - JOUR TI - Formation of misfit dislocations in thin film heterostructures AU - Narayan, J AU - Oktyabrsky, S T2 - JOURNAL OF APPLIED PHYSICS AB - We have studied characteristics of 60° and 90° dislocations in GaAs/Si(100) thin-film heterostructures grown by metal-organic chemical-vapor deposition at 650 °C. The misfit dislocation network consists of approximately 60% of 90° dislocations, and 40% of the closely spaced pairs of 60° dislocations with intersecting glide planes. This ratio has remained essentially constant after rapid thermal annealing at 800 °C for 90 sec. It is envisaged that these 60° dislocation pairs have parallel screw components and as a result they cannot combine to form a 90° dislocation. Upon annealing, some of the 60° dislocation pairs split to form stacking faults in agreement with our earlier model. Based upon these observations, we propose a model where a first set of 60° dislocations is generated from the undulated surface above a critical thickness. The second set of 60° dislocations is nucleated at a larger thickness and at the smoother surface. The Burgers vectors of these dislocations are controlled by the dislocations from the first set, and only low-energy dislocation pairs are formed through glide towards the interface and later through short glide and climb along the interface plane. We have used a numerical analysis based on elasticity theory to evaluate the changes in the nucleation barrier for the 60° dislocations caused by the interaction with the existing misfit dislocations. DA - 2002/12/15/ PY - 2002/12/15/ DO - 10.1063/1.1521789 VL - 92 IS - 12 SP - 7122-7127 SN - 0021-8979 ER - TY - PAT TI - Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on weak posts, and gallium nitride semiconductor structures fabricated thereby AU - Linthicum, K. J. AU - Gehrke, T. AU - Davis, R. F. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - PAT TI - Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on silicon carbide substrates by lateral growth from sidewalls of masked posts, ang gallium nitratde semiconductor structures fabricated thereby AU - Linthicum, K. J. AU - Gehrke, T. AU - Thomson, D. B. AU - Carlson, E. P. AU - Rajagopal, P. AU - Davis, R. F. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - JOUR TI - Infrared spectroscopic ellipsometry - a new tool for characterization of semiconductor heterostructures AU - Kasic, A AU - Schubert, M AU - Einfeldt, S AU - Hommel, D T2 - VIBRATIONAL SPECTROSCOPY AB - Spectroscopic ellipsometry (SE) for infrared wavelengths is presented as a novel technique for contactless and nondestructive measurement of free-carrier and crystal-structure properties of complex semiconductor heterostructures for device applications. Infrared (IR)-active lattice vibrations and LO phonon–plasmon coupled modes dominate the infrared dielectric response of semiconductor materials. Analysis of ellipsometry data from 2 to 33 μm can precisely determine thin-film dielectric functions (DF) without numerical Kramers–Kronig analysis and thus provides information on phonon mode frequencies and broadening parameters, static dielectric constants, and free-carrier parameters, even for films with thicknesses only a fraction of the probing wavelengths. Alloy composition, film strain, and crystal quality of sample constituents in thin-film heterostructures can be derived. An infrared dielectric function database, which was established by analysis of simple heterostructures, is used for the investigation of complex device structures. As an example, we demonstrate the characterization of a laser diode (LD) structure based on group-III-nitride materials, where information such as concentration and mobility of free carriers in the n- and p-type regions, thickness, alloy composition, and quality of device constituents are accessible. DA - 2002/7/5/ PY - 2002/7/5/ DO - 10.1016/S0924-2031(01)00197-7 VL - 29 IS - 1-2 SP - 121-124 SN - 0924-2031 KW - infrared KW - ellipsometry KW - phonon modes KW - free-carrier absorption KW - dielectric function KW - laser diode ER - TY - JOUR TI - Development of the cylindrical wire electrical discharge machining process, part 2: Surface integrity and roundness AU - Qu, J AU - Shih, AJ AU - Scattergood, RO T2 - JOURNAL OF MANUFACTURING SCIENCE AND ENGINEERING-TRANSACTIONS OF THE ASME AB - This study investigates the surface integrity and roundness of parts created by the cylindrical wire EDM process. A mathematical model for the arithmetic average surface roughness on the ideal surface of a cylindrical wire EDM workpiece is first derived. Effects of wire feed rate and part rotational speed on the surface finish and roundness for brass and carbide work-materials at high material removal rates are investigated. The pulse on-time and wire feed rate are varied to explore the best possible surface finish and roundness achievable by the cylindrical wire EDM process. This study has demonstrated that, for carbide parts, an arithmetic average surface roughness and roundness as low as 0.68 and 1.7 μm, respectively, can be achieved. Surfaces of the cylindrical EDM parts were examined using Scanning Electron Microscopy (SEM) to identify the macro-ridges and craters on the surface. Cross-sections of the EDM parts are examined using the SEM to quantify the sub-surface recast layers and heat-affected zones under various process parameters. This study has demonstrated that the cylindrical wire EDM process parameters can be adjusted to achieve either high material removal rate or good surface integrity and roundness. DA - 2002/8// PY - 2002/8// DO - 10.1115/1.1475989 VL - 124 IS - 3 SP - 708-714 SN - 1087-1357 ER - TY - JOUR TI - Tensile elongation (110%) observed in ultrafine-grained Zn at room temperature AU - Zhang, X AU - Wang, H AU - Scattergood, RO AU - Narayan, J AU - Koch, CC AU - Sergueeva, AV AU - Mukherjee, AK T2 - APPLIED PHYSICS LETTERS AB - Tensile tests were performed for Zn at room temperature, which show elongations of 110%–20% for average grain sizes of 240–23 nm, respectively. The ductility of ultrafine-grained and nanocrystalline Zn was found to decrease with grain size refinement. The deformation mechanisms in ultrafine-grained Zn are believed to be a mixture of grain boundary sliding of small nanograins and intra-grain dislocation creep within the large grains. DA - 2002/7/29/ PY - 2002/7/29/ DO - 10.1063/1.1494866 VL - 81 IS - 5 SP - 823-825 SN - 0003-6951 ER - TY - JOUR TI - Reduction in dislocation density and strain in GaN thin films grown via maskless pendeo-epitaxy AU - Roskowski, A. M. AU - Preble, E. A. AU - Einfeldt, S. AU - Miraglia, P. M. AU - Schuck, J. AU - Grober, R. AU - Davis, R. F. T2 - Opto-electronics Review DA - 2002/// PY - 2002/// VL - 10 IS - 4 SP - 261-270 ER - TY - PAT TI - Method of making foamed materialsUSing surfactants and carbon dioxide AU - DeSimone, J. M. AU - Khan, S. A. AU - Royer, J. R. AU - Spontak, R. J. AU - Walker, T. A. C2 - 2002/// DA - 2002/// PY - 2002/// ER - TY - JOUR TI - Investigations regarding the maskless pendeo-epitaxial growth of GaN films prior to coalescence AU - Roskowski, AM AU - Preble, EA AU - Einfeldt, S AU - Miraglia, PM AU - Davis, RF T2 - IEEE JOURNAL OF QUANTUM ELECTRONICS AB - Pendeo-epitaxy employs lateral growth from etched seed forms to achieve a marked reduction in dislocation density in a material. In this research, high-resolution X-ray diffraction and atomic force microscopy of GaN stripes and the laterally grown wings confirmed transmission electron microscopy results regarding the reduction in dislocations in the latter regions. Micro-Raman and X-ray diffraction measurements showed the wings to be tilted /spl les/0.15/spl deg/ due to tensile stresses in the stripes induced primarily by the mismatch in the coefficients of thermal expansion between the GaN stripe and the SiC substrate. A strong, low-temperature D/spl deg/X peak at /spl ap/3.466 eV with a FWHM of /spl les/300 /spl mu/eV was measured in the wing material by micro-photoluminescence. Films grown at 1020/spl deg/C exhibited similar vertical [0001] and lateral [112~0] growth rates. Increasing the growth temperature increased the latter due to the higher thermal stability of the (112~0) GaN and initiated growth of spiral hillocks on the (0001) surface of the stripes. The latter were due to adatom diffusion to heterogeneous steps previously nucleated at the intersections of pure screw or mixed dislocations. The (112~0) surface was atomically smooth under all growth conditions with a root mean square roughness value of 0.17 nm. DA - 2002/8// PY - 2002/8// DO - 10.1109/JQE.2002.801005 VL - 38 IS - 8 SP - 1006-1016 SN - 0018-9197 KW - chemical vapor deposition KW - semiconductor growth KW - thin films KW - topography ER - TY - JOUR TI - Identification and quantitation of urea precipitates in flexible polyurethane foam formulations by X-ray spectromicroscopy AU - Rightor, EG AU - Urquhart, SG AU - Hitchcock, AP AU - Ade, H AU - Smith, AP AU - Mitchell, GE AU - Priester, RD AU - Aneja, A AU - Appel, G AU - Wilkes, G AU - Lidy, WE T2 - MACROMOLECULES AB - Scanning transmission X-ray microscopy (STXM) and atomic force microscopy have been used to study the morphology and chemical composition of macrophase-segregated block copolymers in plaque formulations based on water-blown flexible polyurethane foams. Although there has been a large body of indirect evidence indicating that the observed macrophase-segregated features in water-rich polyurethane foams are due principally to urea components, this work provides the first direct, spatially resolved spectroscopic proof to support this hypothesis. The STXM results are consistent with a segregation model where urea segments segregate, forming enriched phases with the majority of the polyether−polyol and urethane groups at the chain ends of the urea hard segments. Chemical mapping of the urea, urethane, and polyether distribution about the urea-rich segregated phases showed that the urea concentration changes gradually (across several hundred nanometers) in a butylene oxide-based foam. This mapping also showed the urea-rich segregated phases present as a partial network in an ethylene oxide/propylene oxide sample. DA - 2002/7/16/ PY - 2002/7/16/ DO - 10.1021/ma0122627 VL - 35 IS - 15 SP - 5873-5882 SN - 1520-5835 ER - TY - JOUR TI - Electron energy-loss spectroscopy analysis of interface structure of yttrium oxide gate dielectrics on silicon AU - Niu, D AU - Ashcraft, RW AU - Chen, Z AU - Stemmer, S AU - Parsons, GN T2 - APPLIED PHYSICS LETTERS AB - Interface stability of high dielectric constant gate insulators on silicon is an important issue for advanced gate stack engineering. In this article, we analyze the silicon/dielectric interface structure for thin Y2O3 and Y silicate films deposited by chemical vapor deposition on clean and prenitrided Si(100) using high-resolution transmission electron microscopy, electron energy-loss spectroscopy, and x-ray photoelectron spectroscopy. The analysis shows the films to be stoichiometric Y2O3 on top and Y-silicate/SiO2 at the dielectric/Si interface. Prenitridation of the silicon surface impedes the reaction between the depositing film and the substrate, promoting a Si-free Y2O3 structure. Possible mechanisms leading to the observed Y2O3 and Y silicate structures are discussed. DA - 2002/7/22/ PY - 2002/7/22/ DO - 10.1063/1.1496138 VL - 81 IS - 4 SP - 676-678 SN - 1077-3118 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000176871600036&KeyUID=WOS:000176871600036 ER - TY - JOUR TI - Effect of thickness variation in high-efficiency InGaN/GaN light-emitting diodes AU - Narayan, J AU - Wang, H AU - Ye, JL AU - Hon, SJ AU - Fox, K AU - Chen, JC AU - Choi, HK AU - Fan, JCC T2 - APPLIED PHYSICS LETTERS AB - In x Ga (1−x) N/GaN multiquantum-well light-emitting diodes (LEDs) having periodic thickness variations (TVs) in InxGa(1−x)N active layers exhibit substantially higher optical efficiency than LEDs with uniform InxGa(1−x)N layers. In these nanostructured LEDs, the thickness variation of the active layers is found to be more important than the In composition fluctuation in quantum confinement of excitons (carriers). Detailed scanning transmission electron microscopy-atomic number Z contrast analysis, where image contrast is proportional to Z2 (Z being the atomic number), was carried out to investigate the variation in thickness as well as the spatial distribution of In. In the nanostructured LEDs, there are short-range thickness variations (SR-TVs) (3–4 nm) and long-range thickness variations (LR-TVs) (50–100 nm) in InxGa(1−x)N layers. It is envisaged that LR-TV is key to quantum confinement of the carriers and enhancement of the optical efficiency. We propose that the LR-TV is caused by two-dimensional strain in the InxGa(1−x)N layer below its critical thickness. The SR-TV may be caused by In composition fluctuation. DA - 2002/7/29/ PY - 2002/7/29/ DO - 10.1063/1.1496145 VL - 81 IS - 5 SP - 841-843 SN - 0003-6951 ER - TY - JOUR TI - Effect of an electric field on the plastic deformation kinetics of electrodeposited Cu at low and intermediate temperatures AU - Conrad, H AU - Yang, D T2 - ACTA MATERIALIA AB - The plastic deformation kinetics of electrodeposited (EP) Cu foil with grain size d=0.6μm was determined at 293–448 K without and with a concurrent electrostatic field E=2.5kV/cm and compared with that for vapor-deposited (VP) Cu foil tested at 77–473 K without a field. The electric field produced a 20–25% decrease in the flow stress of the EP Cu. The apparent activation volume v=kT∂lnε̇/∂σ both without and with electric field, exhibited a minimum at 350–375 K. The strain rate-controlling mechanism at T≤350K was concluded to be grain boundary shear promoted by the pile-up of dislocations at grain boundaries, while that at T=373–473K was concluded to be the intersection of dislocations. The major effect of the electric field was to give a reduction in the dislocation density produced by straining, which was related to the electric charge density at the specimen surface. DA - 2002/6/28/ PY - 2002/6/28/ DO - 10.1016/S1359-6454(02)00109-X VL - 50 IS - 11 SP - 2851-2866 SN - 1873-2453 KW - copper KW - dislocation KW - grain boundaries KW - electric field ER - TY - JOUR TI - Development of the cylindrical wire electrical discharge machining process, part 1: Concept, design, and material removal rate AU - Qu, J AU - Shih, AJ AU - Scattergood, RO T2 - JOURNAL OF MANUFACTURING SCIENCE AND ENGINEERING-TRANSACTIONS OF THE ASME AB - Results of applying the wire Electrical Discharge Machining (EDM) process to generate precise cylindrical forms on hard, difficult-to-machine materials are presented. The design of a precise, flexible, and corrosion-resistant underwater rotary spindle is first introduced. A detailed spindle error analysis identifies the major sources of error at different frequency spectrum. The spindle has been added to a conventional two-axis wire EDM machine to enable the generation of free-form cylindrical geometries. The mathematical model for material removal rate of the free-form cylindrical wire EDM process is derived. Experiments were conducted to explore the maximum material removal rate for cylindrical and 2D wire EDM of carbide and brass work-materials. Compared to the conventional 2D wire EDM of the same work-material, higher maximum material removal rates may be achieved in the cylindrical wire EDM, possibly due to better debris flushing condition. DA - 2002/8// PY - 2002/8// DO - 10.1115/1.1475321 VL - 124 IS - 3 SP - 702-707 SN - 1528-8935 ER - TY - JOUR TI - Tunable barium strontium titanate thin film capacitors for RF and microwave applications AU - Tombak, A AU - Maria, JP AU - Ayguavives, F AU - Jin, Z AU - Stauf, GT AU - Kingon, AI AU - Mortazawi, A T2 - IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS AB - The measurement results for thin film barium strontium titanate (BST) based voltage tunable capacitors intended for RF applications are reported. At 9 V DC, BST capacitors fabricated using MOCVD (metalorganic chemical vapor deposition) method achieved 71% (3.4:1) tunability. The measured device quality factor (Q) for BST varactors is comparable with the device Q for commercially available varactor diodes of similar capacitance. The typical dielectric loss tangent was in the range 0.003-0.009 at VHF. Large signal measurement and modeling results for BST thin film capacitors are also presented. DA - 2002/1// PY - 2002/1// DO - 10.1109/7260.975716 VL - 12 IS - 1 SP - 3-5 SN - 1558-1764 KW - barium strontium titanate KW - (Ba,Sr)TiO3 KW - BST KW - ferroelectric KW - MOCVD KW - thin film KW - tunable capacitor KW - varactor ER - TY - JOUR TI - The role of the OH species in high-k/polycrystalline silicon gate electrode interface reactions AU - Gougousi, T AU - Kelly, MJ AU - Parsons, GN T2 - APPLIED PHYSICS LETTERS AB - In this letter, reactions occurring at the interface between polycrystalline silicon (poly-Si) and LaSiOx high-dielectric-constant (high-k) insulating layers are characterized using x-ray photoelectron spectroscopy. Dielectrics were formed by sputter deposition of metal on silicon, followed by oxidation at 900 °C. Amorphous silicon was deposited on top by plasma-enhanced chemical vapor deposition from silane, followed by anneal at 650–1050 °C. We show that if the dielectric layer is exposed to sufficient water vapor before polysilicon deposition, annealing at 1050 °C for 10 s is sufficient to completely oxidize ∼25 Å of deposited silicon. Minimal reaction is observed without deliberate water exposure. This demonstrates the importance of the dielectric surface condition in determining reactivity of high-k/polysilicon interfaces. DA - 2002/6/10/ PY - 2002/6/10/ DO - 10.1063/1.1485122 VL - 80 IS - 23 SP - 4419-4421 SN - 1077-3118 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000175904600044&KeyUID=WOS:000175904600044 ER - TY - JOUR TI - The influence of band offsets on the IV characteristics for GaN/SiC heterojunctions AU - Danielsson, E AU - Zetterling, CM AU - Ostling, M AU - Linthicum, K AU - Thomson, DB AU - Nam, OH AU - Davis, RF T2 - SOLID-STATE ELECTRONICS AB - GaN/SiC heterojunctions can improve the performance considerably for bipolar transistors based on SiC technology. In order to fabricate such devices with a high current gain, the origin of the low turn-on voltage for the heterojunction has to be investigated, which is believed to decrease the minority carrier injection considerably. In this work heterojunction diodes are compared and characterized. For the investigated diodes, the GaN layers have been grown by molecular beam epitaxy (MBE), metal organic chemical vapor deposition, and hydride vapor phase epitaxy. A diode structure fabricated with MBE is presented here, whereas others are collected from previous publications. The layers were grown either with a low temperature buffer, AlN buffer, or without buffer layer. The extracted band offsets are compared and included in a model for a recombination process assisted by tunneling, which is proposed as explanation for the low turn-on voltage. This model was implemented in a device simulator and compared to the measured structures, with good agreement for the diodes with a GaN layer grown without buffer layer. In addition the band offset has been calculated from Schottky barrier measurements, resulting in a type II band alignment with a conduction band offset in the range 0.6–0.9 eV. This range agrees well with the values extracted from capacitance–voltage measurements. DA - 2002/6// PY - 2002/6// DO - 10.1016/S0038-1101(01)00346-X VL - 46 IS - 6 SP - 827-835 SN - 1879-2405 KW - GaN/SiC heterojunction KW - band offset KW - midgap theory ER - TY - JOUR TI - Origins of silicon solar cell passivation by SiNx : H anneal AU - Boehme, C AU - Lucovsky, G T2 - JOURNAL OF NON-CRYSTALLINE SOLIDS AB - The origin of silicon solar cell passivation by the post-deposition anneal of hydrogenated silicon nitride (SiNx:H) anti-reflection (AR) coatings is investigated. The diffusion of hydrogen (H) in SiNx:H is dominated by fast diffusion of molecular hydrogen (H2) and ammonia (NH3) and not by slow atomic diffusion through covalent bonding sites. An anneal of the SiNx:H layers leads therefore to a rapid H loss into the environment and not into the silicon bulk. Instead of bulk passivation by H atoms, the improvement of the electronic properties is due to an Si/SiNx:H-interface passivation caused by the formation of a few monolayers of silicon dioxide, which removes the bonding topology related stress at the interface. Secondary ion mass spectroscopy (SIMS) scans on various Si/SiNx:H stacks with mono- and polycrystalline substrates and remote and direct plasma enhanced chemical vapor deposited nitrides were carried out and showed strong interface accumulation of oxygen. DA - 2002/4/1/ PY - 2002/4/1/ DO - 10.1016/S0022-3093(01)01135-8 VL - 299 SP - 1157-1161 SN - 0022-3093 ER - TY - JOUR TI - Optical phonons in hexagonal AlxInyGa1-x-yN (y approximate to 0.12) AU - Kasic, A. AU - Schubert, M. AU - Off, J. AU - Scholz, F. AU - Einfeldt, S. AU - Hommel, D. T2 - Physica Status Solidi. B, Basic Solid State Physics DA - 2002/// PY - 2002/// VL - 234 IS - 3 SP - 970-974 ER - TY - JOUR TI - Maskless pendeo-epitaxial growth of GaN films AU - Roskowski, AM AU - Preble, EA AU - Einfeldt, S AU - Miraglia, PM AU - Davis, RF T2 - JOURNAL OF ELECTRONIC MATERIALS DA - 2002/5// PY - 2002/5// DO - 10.1007/s11664-002-0095-6 VL - 31 IS - 5 SP - 421-428 SN - 1543-186X KW - pendeo-epitaxy (PE) KW - gallium nitride (GaN) KW - metalorganic vapor phase epitaxy (MOVPE) KW - atomic force microscopy (AFM) KW - x-ray diffraction (XRD) KW - photoluminescence (PL) ER - TY - JOUR TI - Long-term reliability degradation of ultrathin dielectric films due to heavy-ion irradiation AU - Choi, BK AU - Fleetwood, DM AU - Schrimpf, RD AU - Massengill, LW AU - Galloway, KF AU - Shaneyfelt, MR AU - Meisenheimer, TL AU - Dodd, PE AU - Schwank, , JR AU - Lee, YM AU - John, RS AU - Lucovsky, G T2 - IEEE TRANSACTIONS ON NUCLEAR SCIENCE AB - High-energy ion-irradiated 3.3-nm oxynitride film and 2.2-nm SiO/sub 2/-film MOS capacitors show premature breakdown during subsequent electrical stress. This degradation in breakdown increases with increasing ion linear energy transfer (LET), increasing ion fluence, and decreasing oxide thickness. The reliability degradation due to high-energy ion-induced latent defects is explained by a simple percolation model of conduction through SiO/sub 2/ layers with irradiation and/or electrical stress-induced defects. Monitoring the gate-leakage current reveals the presence of latent defects in the dielectric films. These results may be significant to future single-event effects and single-event gate rupture tests for MOS devices and ICs with ultrathin gate oxides. DA - 2002/12// PY - 2002/12// DO - 10.1109/TNS.2002.805389 VL - 49 IS - 6 SP - 3045-3050 SN - 1558-1578 KW - heavy-ion irradiation KW - radiation effect KW - single-event effect KW - ultra-thin gate dielectric films ER - TY - JOUR TI - Experimental observation of electron velocity overshoot in AlN AU - Collazo, R AU - Schlesser, R AU - Sitar, Z T2 - APPLIED PHYSICS LETTERS AB - The energy distribution of electrons transported through intrinsic AlN heteroepitaxial films grown on 6H-SiC was directly measured as a function of the applied electric field. Following the transport, electrons were extracted into vacuum through a semitransparent Au electrode and their energy distribution was measured using an electron spectrometer. Transport through 80-nm-thick layers indicated the onset of quasiballistic transport for fields greater than 510 kV/cm. This was evidenced by a symmetric energy distribution centered at energies above the conduction band minimum. Drifted Fermi–Dirac energy distribution was fitted to the measured energy distribution, with the energy scale referenced to the bottom of the AlN conduction band. The drift energy and the carrier temperature were obtained as fitting parameters. Overshoots as high as five times the saturation velocity were observed and a transient length of less than 80 nm was deduced. In addition, the velocity-field characteristic was derived from these observations. DA - 2002/12/30/ PY - 2002/12/30/ DO - 10.1063/1.1534407 VL - 81 IS - 27 SP - 5189-5191 SN - 0003-6951 ER - TY - JOUR TI - Effect of implantation temperature on damage accumulation in Ar-implanted GaN AU - Usov, I. AU - Parikh, N. AU - Thomson, D. B. AU - Davis, R. F. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 2002/// PY - 2002/// VL - 7 IS - 9 SP - 9-1 ER - TY - JOUR TI - Correlations between electronic structure of transition metal atoms and performance of high-k gate dielectrics in advanced Si devices AU - Lucovsky, G T2 - JOURNAL OF NON-CRYSTALLINE SOLIDS AB - This paper develops a classification scheme for non-crystalline dielectrics that separates them into three groups with different amorphous morphologies, and identifies a linear scaling relationship between average bond ionicity and oxygen atom coordination. The classification scheme is applied to transition metal silicate and aluminate alloys and provides a structural model for molecular orbital, MO, calculations that are based on the coordination and symmetry of transition metal atoms and the orbital energies of their oxygen neighbors. The MO calculations show that conduction band offset energies with respect to Si scale inversely with the energy difference between transition metal atomic n+1 s- and n d-states providing an important insight into the choice of alternative gate dielectrics for advanced Si devices. DA - 2002/5/1/ PY - 2002/5/1/ DO - 10.1016/S0022-3093(02)00962-6 VL - 303 IS - 1 SP - 40-49 SN - 1873-4812 ER - TY - JOUR TI - Characterization of charge-carrier dynamics in thin oxide layers on silicon by second harmonic generation AU - Glinka, Y. D. AU - Wang, W. AU - Singh, S. K. AU - Marka, Z. AU - Rashkeev, S. N. AU - Shirokaya, Y. AU - Albridge, R. AU - Pantelides, S. T. AU - Tolk, N. H. AU - Lucovsky, G. T2 - Physical Review. B, Condensed Matter and Materials Physics DA - 2002/// PY - 2002/// VL - 65 IS - 19 SP - 193103-1 ER - TY - JOUR TI - Amorphous morphology, thermal stability and electronic structure of non-crystalline transition-metal elemental and binary oxides, and chalcogenides AU - Lucovsky, G T2 - JOURNAL OF NON-CRYSTALLINE SOLIDS AB - The primary motivation for this research is to identify alternative high-k gate dielectrics for advanced crystalline Si complementary metal oxide semiconductor (CMOS) devices. A novel and systematic approach to the classification of candidate elemental and binary non-crystalline oxides that is based on relative bond ionicity separates these dielectrics into three groups with different amorphous morphologies: continuous random networks, modified continuous random networks in which metal atoms disrupt and modify the covalently bonded network structure, and random close packed ionic structures. This approach identifies the importance of the oxygen atom co-ordination, providing useful insights into the bonding in chalcogenide alloys as well. DA - 2002/4/1/ PY - 2002/4/1/ DO - 10.1016/S0022-3093(01)01162-0 VL - 299 SP - 231-237 SN - 1873-4812 ER - TY - JOUR TI - Ab initio analysis of silyl precursor physisorption and hydrogen abstraction during low temperature silicon deposition AU - Gupta, A AU - Yang, H AU - Parsons, GN T2 - SURFACE SCIENCE AB - Abstract The energetics of silyl (SiH 3 ) precursor surface adsorption and hydrogen abstraction on a monohydride terminated silicon surface are described. The abstraction of surface hydrogen by H radicals is more exothermic, and proceeds with a smaller kinetic barrier than H abstraction by silyl. Surface adsorption and abstraction were analyzed using both multi-parent configuration interaction (CI) and several density functional approaches using the Si 4 H 10 cluster representing a monohydride terminated silicon (1 1 1) surfaces, and results from the two techniques are critically compared and evaluated. Hydrogen abstraction by H is found to proceed through a kinetic barrier that is between 0 kcal/mol predicted by DFT and 7.2 kcal/mol determined from CI, consistent with experimental values of ∼2 kcal/mol. The barrier height for H abstraction by silyl (without zero point and H tunneling corrections) is determined to be between 4.1 kcal/mol calculated using DFT, and 14.2 kcal/mol determined from the multi-parent CI. These calculations indicate that during typical low temperature silicon deposition processes, H abstraction by impinging hydrogen atoms dominates H abstraction by SiH 3 and plays an important role in creation of surface dangling bonds. None of the Si–H/silyl potential energy surfaces obtained from CI and DFT methods show evidence for stable physisorbed three-center Si–H–(SiH 3 ) p bond, which is commonly presumed in several models of silicon thin film deposition. We discuss these results in relation to experimental analysis of surface diffusion kinetics in film deposition, and suggest alternate growth models, including H-mediated Si–Si bond breaking and/or direct silyl insertion, to describe activated low temperature silicon-based film deposition. DA - 2002/1/10/ PY - 2002/1/10/ DO - 10.1016/S0039-6028(01)01467-4 VL - 496 IS - 3 SP - 307-317 SN - 0039-6028 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000173286100016&KeyUID=WOS:000173286100016 KW - ab initio quantum chemical methods and calculations KW - density functional calculations KW - models of surface chemical reactions ER - TY - JOUR TI - Vertically scaled MOSFET gate stacks and junctions: How far are we likely to go? AU - Osburn, CM AU - Kim, I AU - Han, SK AU - De, I AU - Yee, KF AU - Gannavaram, S AU - Lee, SJ AU - Lee, CH AU - Luo, ZJ AU - Zhu, W AU - Hauser, , JR AU - Kwong, DL AU - Lucovsky, G AU - Ma, TP AU - Ozturk, MC T2 - IBM JOURNAL OF RESEARCH AND DEVELOPMENT AB - The vertical scaling requirements for gate stacks and for shallow extension junctions are reviewed. For gate stacks, considerable progress has been made in optimizing oxide/nitride and oxynitride dielectrics to reduce boron penetration and dielectric leakage compared to pure SiO 2 in order to allow sub-2-nm dielectrics. Several promising alternative material candidates exist for 1-nm equivalent oxide thickness (EOT)—for example, HfO 2 , ZrO 2 , and their silicates. Nevertheless, considerable challenges lie ahead if we are to achieve an EOT of less than 0.5 nm. If only a single molecular interface layer of oxide is needed to preserve high channel mobility, it seems likely that an EOT of 0.4–0.5 nm would represent the physical limit of dielectric scaling, but even then with a very high leakage (∼10 5 A/cm 2 ). For junctions, the main challenge lies in providing low parasitic series resistance as depths are scaled in order to reduce short-channel effects. Because contacts are ultimately expected to dominate the parasitic resistance, low-barrier-height contacts and/or very heavily doped junctions will be required. While ion implantation and annealing processes can certainly be extended to meet the junction-depth and series-resistance requirements for additional generations, alternative low-temperature deposition processes that produce either metastably or extraordinarily activated, abruptly doped regions seem better suited to solve the contact resistance problem. DA - 2002/// PY - 2002/// DO - 10.1147/rd.462.0299 VL - 46 IS - 2-3 SP - 299-315 SN - 2151-8556 ER - TY - JOUR TI - Total-dose radiation response of hafnium-silicate capacitors AU - Felix, JA AU - Fleetwood, DM AU - Schrimpf, RD AU - Hong, JG AU - Lucovsky, G AU - Schwank, , JR AU - Shaneyfelt, MR T2 - IEEE TRANSACTIONS ON NUCLEAR SCIENCE AB - Hafnium-silicate capacitors with 4.5-nm equivalent oxide thickness gate insulators were irradiated with 10-keV X-rays. The midgap and flatband voltage shifts in these devices increase linearly with dose and are significantly larger than the shifts seen in high quality, thermal SiO/sub 2/ gate oxides of similar electrical thickness. The standard trapping efficiency equation is adapted for calculating effective trapping efficiencies in alternative dielectrics and used to compare the radiation response of hafnium silicate to SiO/sub 2/ from several manufacturers. The effects of common reliability screens such as "burn-in" and bias stress tests are also discussed. It is shown that baking these devices can degrade their capacitance-voltage characteristics, and large applied voltages inject excess charge into the dielectric, which can lead to a misinterpretation of the radiation results. However, the radiation responses of these devices, coupled with the demonstrated resistance of these films to heavy-ion induced gate rupture in previous studies, suggest that alternative dielectrics to SiO/sub 2/ potentially could be integrated into future electronics technologies for many low-power space applications. DA - 2002/12// PY - 2002/12// DO - 10.1109/TNS.2002.805392 VL - 49 IS - 6 SP - 3191-3196 SN - 1558-1578 KW - alternative dielectric film KW - burn-in effects KW - MOS capacitor KW - oxide trapped KW - radiation effects ER - TY - JOUR TI - Synchrotron white beam topography characterization of physical vapor transport grown AlN and ammonothermal GaN AU - Raghothamachar, B AU - Vetter, WM AU - Dudley, M AU - Dalmau, R AU - Schlesser, R AU - Sitar, Z AU - Michaels, E AU - Kolis, JW T2 - JOURNAL OF CRYSTAL GROWTH AB - Structural defects in AlN single crystals grown by the sublimation method and GaN single crystals grown by the ammonothermal method are characterized by synchrotron white-beam X-ray topography in conjunction with optical microscopy. AlN platelets are either of (112̄0) or (0 0 0 1) type depending on the growth conditions. Dislocation densities of the order of 103 cm−2 or lower are observed in some crystals. X-ray topographs reveal the presence of growth sector boundaries, inclusions, and growth dislocations that indicate slight impurity contamination. The 2H crystal structure of GaN single crystals obtained by the ammonothermal method was verified by Laue X-ray pattern analysis. GaN crystals grown are of the order of 1 mm in size and are either (0 0 0 1) platelets or [0 0 0 1] prismatic needles. Generally, prismatic needles are characterized by lower degree of mosaicity than (0 0 0 1) platelets. DA - 2002/12// PY - 2002/12// DO - 10.1016/S0022-0248(02)01751-7 VL - 246 IS - 3-4 SP - 271-280 SN - 0022-0248 KW - defects KW - X-ray topography KW - growth from vapor KW - single crystal growth KW - aluminum nitride KW - gallium nitride ER - TY - JOUR TI - Structural, optical and magnetic properties of diluted magnetic semiconducting Zn1-xMnxO films AU - Tiwari, A AU - Jin, C AU - Kvit, A AU - Kumar, D AU - Muth, JF AU - Narayan, J T2 - SOLID STATE COMMUNICATIONS AB - We have investigated the structural, optical and magnetic properties of high quality epitaxial Zn1−xMnxO (diluted magnetic semiconductor) films. These films were deposited on (0001) sapphire substrate by a pulsed laser deposition technique. The nonequilibrium nature of the laser–material interaction allowed us to dope higher Mn contents (x=0.36) than allowed by thermal equilibrium limit (x∼0.13). All the films investigated here were found to be single phased and epitaxial with (0001) orientation. As the Mn concentration increases in the system, the c-axis lattice constant was found to increase linearly. Optical transmittance study showed an increase in the insulating band-gap (Eg) with increase in Mn atomic fraction x following Eg=3.270+2.760x−4.988x2eV. DC magnetization measurements showed the paramagnetic nature of the system. DA - 2002/// PY - 2002/// DO - 10.1016/S0038-1098(01)00464-1 VL - 121 IS - 6-7 SP - 371-374 SN - 0038-1098 KW - thin films KW - laser processing KW - epitaxy KW - X-ray scattering KW - optical properties ER - TY - JOUR TI - Role of nitrogen related complexes in the formation of defects in silicon AU - Karoui, A AU - Karoui, FS AU - Kvit, A AU - Rozgonyi, GA AU - Yang, D T2 - APPLIED PHYSICS LETTERS AB - Defect size and density distributions were obtained as a function of depth in nitrogen doped CZ silicon (N-CZ) following Hi–Lo–Hi and Lo–Hi annealing, using an oxygen precipitate profiler. The defects were also delineated by Wright etching and Nomarski optical microscopy on both cleaved and bevel polished samples. In addition to the enhanced precipitation and absence of voids previously reported for N-CZ Si, an unexpected mode of precipitation has been found near the annealed wafer surface, just above the traditional denuded zone. This oxynitride precipitate is discussed with regard to N-related complex interactions and point defect supersaturations/injection. High resolution transmission electron microscopy revealed that most precipitates have an octahedral shape with two distinct amorphous phases, which reflect a transition from an initial phase containing both N and O to one with primarily O, as verified with Z-contrast TEM and electron energy loss spectroscopy. DA - 2002/3/25/ PY - 2002/3/25/ DO - 10.1063/1.1462874 VL - 80 IS - 12 SP - 2114-2116 SN - 1077-3118 ER - TY - JOUR TI - Piezoresponse force microscopy for piezoelectric measurements of III-nitride materials AU - Rodriguez, BJ AU - Gruverman, A AU - Kingon, AI AU - Nemanich, RJ T2 - JOURNAL OF CRYSTAL GROWTH AB - Piezoelectric constants and polarity distributions of epitaxial AlN and GaN thin films are investigated by piezoresponse force microscopy (PFM). The magnitude of the effective longitudinal piezoelectric constant d33 is determined to be 3±1 and 2±1 pm/V for wurtzite AlN and GaN/AlN layers grown by organo-metallic vapor phase epitaxy on SiC substrates, respectively. Simultaneous imaging of surface morphology as well as the phase and magnitude of the piezoelectric response is performed by PFM on a GaN film with patterned polarities on a c-Al2O3 substrate. We demonstrate that the polarity distribution of GaN based lateral polarity heterostructures can be deduced from the phase image of the piezoresponse with nanometer scale spatial resolution. We also present images of AlN/Si samples with regions of opposite piezoresponse phase, which indicate the presence of antiphase domains. We discuss the potential application of this technique for determination of the orientation of bulk crystals. DA - 2002/12// PY - 2002/12// DO - 10.1016/S0022-0248(02)01749-9 VL - 246 IS - 3-4 SP - 252-258 SN - 0022-0248 KW - Al. atomic force microscopy KW - B2. piezoelectric materials KW - B2. semiconducting III-V materials ER - TY - JOUR TI - Pd growth and subsequent Schottky barrier formation on chemical vapor cleaned p-type GaN surfaces AU - Hartlieb, PJ AU - Roskowski, A AU - Davis, RF AU - Platow, W AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - Characterization of chemical vapor cleaned, Mg-doped, p-type GaN(0001) surfaces and Pd contacts sequentially deposited on these surfaces has been conducted using x-ray and ultraviolet photoelectron spectroscopies and low-energy electron diffraction. The band bending and the electron affinity at the cleaned p-GaN surface were 1.4±0.1 eV and 3.1±0.1 eV, respectively. A previously unidentified band of surface states was observed at ∼1.0 eV below the Fermi level on this surface. The Pd grew epitaxially on the cleaned surface in a layer-by-layer mode and formed an abrupt, unreacted metal–semiconductor interface. The induced Fermi level movement with Pd deposition has been attributed to a complex interaction between extrinsic and intrinsic surface states as well as metal induced gap states. The final Schottky barrier height at the Pd/p-GaN interface was 1.3±0.1 eV; the interface dipole contribution was 0.4±0.1 eV. DA - 2002/1/15/ PY - 2002/1/15/ DO - 10.1063/1.1424060 VL - 91 IS - 2 SP - 732-738 SN - 1089-7550 ER - TY - JOUR TI - Orientation effects in chemical solution derived Pb(Zr-0.3,Ti-0.7)O-3 thin films on ferroelectric properties AU - Kim, SH AU - Park, DY AU - Woo, HJ AU - Lee, DS AU - Ha, J AU - Hwang, CS AU - Shim, IB AU - Kingon, AI T2 - THIN SOLID FILMS AB - The solely orientation-related effects on ferroelectric and piezoelectric properties of Pb(Zr0.3,Ti0.7)O3 (PZT) thin films with identical processing conditions were investigated using near lattice matched Pt electrodes, that is, (111)-textured Pt for (111)-oriented PZT thin films and (100)-textured Pt for (100)-oriented films. As a result, the film composition, microstructure, and topography were highly similar in all cases. (111)-oriented tetragonal PZT films exhibited highly rectangular P–V hysteresis loops with a slightly better fatigue endurance than the (100)-oriented films. However, the measured d33 values of (100)-oriented PZT films were somewhat higher than those of (111)-oriented films, indicating a consistency with C–V curves. It was shown that in tetragonal symmetry, the intrinsic effect was largest in the piezoelectricity of PZT thin films. DA - 2002/9/2/ PY - 2002/9/2/ DO - 10.1016/S0040-6090(02)00726-5 VL - 416 IS - 1-2 SP - 264-270 SN - 0040-6090 KW - PZT KW - orientation KW - sol-gel KW - electrode ER - TY - JOUR TI - Novel cubic ZnxMg1-xO epitaxial hetero structures on Si (100) substrates AU - Narayan, J. AU - Sharma, A. K. AU - Kvit, A. AU - Jin, C. AU - Muth, J. F. AU - Holland, O. W. T2 - Solid State Communications DA - 2002/// PY - 2002/// VL - 121 IS - 1 SP - 9-13 ER - TY - JOUR TI - Multiscale dewetting of low-molecular-weight block copolymer ultrathin films AU - Leonard, DN AU - Russell, PE AU - Smith, SD AU - Spontak, RJ T2 - MACROMOLECULAR RAPID COMMUNICATIONS AB - Ultrathin films of a low-molecular-weight block copolymer spontaneously dewet after several days at ambient temperature. Film rupture produces macroscopic holes and a residual pancake brush layer ≈ 2 nm thick with intermittent mounds measuring up to 25 nm in thickness. Multiscale dewetting likewise occurs when the films are heated and returned to ambient temperature. Regardless of the surface pattern that forms during heating, submicron mounds develop on the dewetted copolymer film, and fine holes emerge along the substrate surface, after cooling. DA - 2002/2/19/ PY - 2002/2/19/ DO - 10.1002/1521-3927(20020201)23:3<205::AID-MARC205>3.0.CO;2-X VL - 23 IS - 3 SP - 205-209 SN - 1022-1336 KW - block copolymers KW - surface dewetting KW - surface patterns KW - thin films ER - TY - PCOMM TI - Molecular simulation of the influence of chemical cross-links on the shear strength of carbon nanotube-polymer interfaces AU - Frankland, SJV AU - Caglar, A AU - Brenner, DW AU - Griebel, M AB - The influence of chemical cross-links between a single-walled fullerene nanotube and a polymer matrix on the matrix−nanotube shear strength has been studied using molecular dynamics simulations. A (10,10) nanotube embedded in either a crystalline or amorphous polyethylene matrix is used as a model for a nonbonded interface (in the absence of cross-links). The simulations predict that shear strengths and critical lengths required for load transfer can be enhanced and decreased, respectively, by over an order of magnitude with the formation of cross-links involving less than 1% of the nanotube carbon atoms. At this level of chemical functionalization, calculations also predict that there is a negligible change in tensile modulus for a (10,10) nanotube. DA - 2002/3/28/ PY - 2002/3/28/ DO - 10.1021/jp015591+ SP - 3046-3048 ER - TY - JOUR TI - Manipulation of nylon-6 crystal structures with its alpha-cyclodextrin inclusion complex AU - Wei, M AU - Davis, W AU - Urban, B AU - Song, YQ AU - Porbeni, FE AU - Wang, XW AU - White, JL AU - Balik, CM AU - Rusa, CC AU - Fox, J AU - Tonelli, AE T2 - MACROMOLECULES AB - We successfully formed an inclusion complex between nylon-6 and α-cyclodextrin and attempted to use the formation and subsequent disassociation of the nylon-6/α-cyclodextrin inclusion complex to manipulate the polymorphic crystal structures, crystallinity, and orientation of nylon-6. Formation of the inclusion complex was verified by Fourier transform infrared (FTIR) spectroscopy, wide-angle X-ray diffraction (WAXD), differential scanning calorimetry (DSC), and CP/MAS 13C NMR. After obtaining the inclusion complex of nylon-6 and α-cyclodextrin, the sample was treated in an acid environment to remove the host α-cyclodextrin and coalesce the nylon-6 guest polymer. Examination of as-received and IC coalesced nylon-6 samples showed that the α-form crystalline phase of nylon-6 is the dominant component in the coalesced sample. X-ray diffraction patterns demonstrate that the γ-form is significantly suppressed in the coalesced sample. Along with the change in crystal form, an increase in crystallinity of ∼80% was revealed by DSC, and elevated melting and crystallization temperatures were also observed for the coalesced nylon-6 sample. FTIR spectroscopy revealed a significant degree of orientaion for the nylon-6 chains coalesced from their α-cyclodextrin inclusion complex crystals. Thermogravimetric analysis indicated that nylon-6 has an ∼30 °C higher thermal degradation temperature after modification by threading into and being extracted from its α-cyclodextrin inclusion complex. DA - 2002/10/8/ PY - 2002/10/8/ DO - 10.1021/ma020765m VL - 35 IS - 21 SP - 8039-8044 SN - 0024-9297 ER - TY - JOUR TI - Low-temperature resistivity minima in colossal magnetoresistive La0.7Ca0.3MnO3 thin films AU - Kumar, D. AU - Sankar, J. AU - Narayan, J. AU - Singh, R. K. AU - Majumdar, A. K. T2 - Physical Review. B, Condensed Matter and Materials Physics DA - 2002/// PY - 2002/// VL - 65 IS - 9 SP - 094407-1 ER - TY - JOUR TI - Growth of bulk AlN crystals by vaporization of aluminum in a nitrogen atmosphere AU - Schlesser, R AU - Sitar, Z T2 - JOURNAL OF CRYSTAL GROWTH AB - AlN single crystals were grown by vaporization of aluminum in a nitrogen atmosphere. The growth temperature was found to critically influence the crystal morphology, while nitrogen pressure and flow rate had no considerable influence on the growth process. Crystals were grown under quasi-stagnant conditions (nitrogen flow of 100 sccm) at a reactor pressure of 500 Torr. At relatively low temperatures (1800–1900°C), AlN needles were grown at rates up to 10 mm/h along the c-axis. Twinned platelets were observed in a temperature range of 1900–2100°C. At temperatures above 2100°C, AlN c-plates (up to 50 mm2) were grown by spontaneous nucleation within 2 h. Extraordinarily large growth rates of 5 mm/h in the c-plane and 0.2 mm/h along the c-axis were observed. SEM pictures revealed step-flow growth on the c-plane surfaces. Raman spectroscopy indicated a drastic improvement in the crystal quality with growth temperature. DA - 2002/1// PY - 2002/1// DO - 10.1016/S0022-0248(01)01720-1 VL - 234 IS - 2-3 SP - 349-353 SN - 0022-0248 KW - growth from vapor KW - single crystal growth KW - nitrides ER - TY - JOUR TI - Growth of (103) fiber-textured SrBi2Nb2O9 films on Pt-coated silicon AU - Asayama, G AU - Lettieri, J AU - Zurbuchen, MA AU - Jia, Y AU - Trolier-McKinstry, S AU - Schlom, DG AU - Streiffer, SK AU - Maria, JP AU - Bu, SD AU - Eom, CB T2 - APPLIED PHYSICS LETTERS AB - (103) fiber-textured SrBi2Nb2O9 thin films have been grown on Pt-coated Si substrates using a SrRuO3 buffer layer. High-resolution transmission electron microscopy reveals that the fiber texture arises from the local epitaxial growth of (111) SrRuO3 grains on (111) Pt grains and in turn (103) SrBi2Nb2O9 grains on (111) SrRuO3 grains. The films exhibit remanent polarization values of 9 μC/cm2. The uniform grain orientation (fiber texture) should minimize grain-to-grain variations in the remanent polarization, which is important to continued scaling of ferroelectric memory device structures. DA - 2002/4/1/ PY - 2002/4/1/ DO - 10.1063/1.1463697 VL - 80 IS - 13 SP - 2371-2373 SN - 1077-3118 ER - TY - JOUR TI - Formation of epitaxial Au/Ni/Au ohmic contacts to p-GaN AU - Narayan, J AU - Wang, H AU - Oh, TH AU - Choi, HK AU - Fan, JCC T2 - APPLIED PHYSICS LETTERS AB - We have designed a promising contact scheme to p-GaN, where Au/Ni/Au layers are deposited on p-GaN and annealed in air for 30 min at 470 °C to produce low-resistivity ohmic contacts. The Au layer in contact with p-GaN grows epitaxially via domain matching epitaxy, which acts as a template for NiO growth via lattice matching epitaxy. The 〈111〉 oriented gold rotates 30° in the basal (0001) plane of GaN by 30° with the following orientation relationship: [111]Au//[0001]GaN; [112̄]Au//[21̄1̄0]GaN. As a result, we can create epitaxial NiO–Au composite, where Au as well as NiO are in contact with p-GaN. This epitaxial composite structure is envisaged to be important in achieving low-resistivity ohmic contacts in p-GaN. We present the details of atomic structure, epitaxial relationship, chemistry, and electrical properties of ohmic contacts. DA - 2002/11/18/ PY - 2002/11/18/ DO - 10.1063/1.1524032 VL - 81 IS - 21 SP - 3978-3980 SN - 0003-6951 ER - TY - JOUR TI - Epitaxial growth of TaN thin films on Si(100) and Si(111) using a TiN buffer layer AU - Wang, H AU - Tiwari, A AU - Kvit, A AU - Zhang, X AU - Narayan, J T2 - APPLIED PHYSICS LETTERS AB - We have deposited high-quality epitaxial B1 NaCl-structured TaN films on Si(100) and Si(111) substrates with TiN as the buffer layer, using pulsed laser deposition. Our method exploits the concept of lattice-matching epitaxy between TiN and TaN and domain-matching epitaxy between TiN and silicon. X-ray diffraction, transmission electron microscopy, and scanning transmission electron microscopy (Z-contrast) experiments confirmed the single-crystalline nature of the films with cube-on-cube epitaxy. The stoichiometry of the TaN films was determined to be nitrogen deficient (TaN0.95±0.05) by Rutherford backscattering. Resistivity of the TaN films was found to be ∼220 μΩ cm at room temperature with a temperature coefficient of resistivity of −0.5 μΩ K−1. DA - 2002/4/1/ PY - 2002/4/1/ DO - 10.1063/1.1466522 VL - 80 IS - 13 SP - 2323-2325 SN - 1077-3118 ER - TY - JOUR TI - Electron trapping in non-crystalline Ta- and Hf-aluminates for gate dielectric applications in aggressively scaled silicon devices AU - Johnson, RS AU - Hong, JG AU - Hinkle, C AU - Lucovsky, G T2 - SOLID-STATE ELECTRONICS AB - Abstract The physical and electrical properties of non-crystalline Ta- and Hf-alumiunates, (Ta 2 O 5 ) x (Al 2 O 3 ) 1− x and (HfO 2 ) x (Al 2 O 3 ) 1− x , respectively, were studied. As-deposited films were homogeneous and pseudo-binary in character with increased thermal stability with respect to the respective end-member oxides. Capacitance–voltage and current density–voltage data as a function of temperature demonstrate that the Ta and Hf d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta 2 O 5 and HfO 2 with respect to Si. This work correlates the studies of Ta- and Hf-aluminates to develop a qualitative conduction band energy level scheme for the two alloys where the interfacial electrical properties are dominated by electron traps of the respective transition metal atoms, and/or or network defects associated with the alloy. DA - 2002/11// PY - 2002/11// DO - 10.1016/S0038-1101(02)00152-1 VL - 46 IS - 11 SP - 1799-1805 SN - 1879-2405 ER - TY - JOUR TI - Effect of hydrogen on adsorbed precursor diffusion kinetics during hydrogenated amorphous silicon deposition AU - Bray, KR AU - Gupta, A AU - Parsons, Gregory T2 - Applied Physics Letters AB - Fractal analysis of the surface topography is used to study the effects of hydrogen dilution on the surface transport kinetics during the plasma deposition of hydrogenated amorphous silicon. Images obtained from atomic force microscopy are examined using dimensional fractal analysis, and surface diffusion lengths of growth precursors are estimated from the measured correlation lengths. The addition of small amounts of hydrogen (H2/SiH4 ratios &lt;10/1) during deposition leads to a decrease in the diffusion length, but larger hydrogen dilutions result in increased diffusion length. Moreover, the measured surface diffusion activation barrier is reduced from 0.20 eV for deposition from pure SiH4 to 0.13 eV with high hydrogen dilution. Results are consistent with recent models for precursor surface transport during low-temperature deposition, and give insight into critical processes for low-temperature silicon crystallization. DA - 2002/// PY - 2002/// DO - 10.1063/1.1467616 VL - 80 IS - 13 SP - 2356-2358 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000174623300040&KeyUID=WOS:000174623300040 ER - TY - JOUR TI - Diffusion and electrical activity of copper in Si1-x-yGexCy alloys AU - Hattab, A. AU - Aboelfotoh, M. O. AU - Tremblay, G. AU - Meyer, F. AU - Kolodzey, J. AU - Osten, H. J. AU - Dubois, C. T2 - Microelectronic Engineering AB - We investigate copper diffusion in Si-rich Si1−x−yGexCy (x<20%) and Ge-rich (x=93%) Si1−xGex layers. The profiles of the different constituents (Si, Ge, Cu, C, B) were determined using secondary ion mass spectroscopy (SIMS). Carrier profiles were studied by electrical characterizations of Schottky diodes. The structures were prepared by copper deposition on SiGeC alloys at room temperature. The increase of the Ge-content from 0% to 93% results in a decrease of the Cu diffusion depth determined by SIMS. C-incorporation also leads to a reduction of Cu-diffusion. The effect of boron seems to be more important, and Cu-diffusion is well retarded in p-type samples. The electrical activity of Cu in IV–IV alloys depends on the Ge-content. For Si-rich p-type SiGe alloy, we observed a passivation of the boron acceptors attributed to the formation of Cu–B pairs, which also explains the reduction of Cu diffusion. For p-type Ge-rich samples, the acceptor concentration can reach very high values (larger than the boron concentration), and becomes temperature dependent. These results show that boron passivation is no longer the most important effect of Cu diffusion. We suggest that the presence of Cu in Ge-rich alloys produces an acceptor-like trap. DA - 2002/// PY - 2002/// DO - 10.1016/S0167-9317(01)00605-0 VL - 60 IS - 1-2 SP - 283-288 ER - TY - CHAP TI - Atomistic simulation of grain boundaries, triple junctions and related disclinations AU - Shenderova, O. A. AU - Brenner, D. W. T2 - Local lattice rotations and disclinations in microstructures of distorted crystalline materials: Proceedings of the International Workshop on local lattice rotations and disclinations in microstructures of distorted crystalline materials, held at Rauschenbach/Erzgebirge, April 10-14, 2000 (Solid state phenomena ; v. 87) CN - [Electronic Resource] PY - 2002/// VL - 87 SP - 205-213 PB - Switzerland: Scitech; Enfield, N.H.: Distributed in the Americas by Trans Tech SN - 3908450683 ER - TY - JOUR TI - Atomic modeling of carbon-based nanostructures as a tool for developing new materials and technologies AU - Brenner, D. W. AU - Shenderova, O. A. AU - Areshkin, D. A. AU - Schall, J. D. AU - Frankland, S. J. V. T2 - Computer Modeling in Engineering & Sciences : CMES DA - 2002/// PY - 2002/// VL - 3 IS - 5 SP - 643-673 ER - TY - JOUR TI - ABA triblock copolymer gels modified with an A-compatible semicrystalline homopolymer AU - Walker, TA AU - Semler, JJ AU - Leonard, DN AU - Maanen, GJ AU - Bukovnik, RR AU - Spontak, RJ T2 - LANGMUIR AB - In the presence of a midblock-selective solvent, ABA triblock copolymers form physical gels in which bridged and entangled B-chains establish a swollen network stabilized by A-microdomains. Here, we seek to improve the properties of an ABA gel through the addition of an A-compatible, high-molecular-weight semicrystalline homopolymer (shA). Dynamic rheology indicates that the elastic modulus increases substantially, and far beyond that achievable with an inert filler, with increasing shA content at constant solvent concentration. Transmission electron micrographs reveal the existence of nanoscale shA filaments and sheets dispersed in a micelle-stabilized gel network. The shape of the nanoscale shA objects, which are partially crystalline according to differential scanning calorimetry, and their apparent interaction with the A-rich micelles enhance network development and are responsible for the pronounced modulus increase. DA - 2002/10/29/ PY - 2002/10/29/ DO - 10.1021/la026117d VL - 18 IS - 22 SP - 8266-8270 SN - 0743-7463 ER - TY - JOUR TI - A molecular orbital model for the electronic structure of transition metal atoms in silicate and aluminate alloys AU - Lucovsky, G AU - Whitten, JL AU - Zhang, Y T2 - SOLID-STATE ELECTRONICS AB - A classification scheme that separates non-crystalline dielectrics into three groups with different amorphous morphologies reveals an approximately linear relationship between oxygen atom coordination and average bond ionicity. When applied to transition metal (TM) and rare earth (RE) lanthanide oxides, and their silicate and aluminate alloys, this approach demonstrates a correlation between stability against crystallization and oxygen atom coordination. It also provides a local bonding model for local molecular orbital calculations that are based on the coordination and symmetry of TM and RE atoms and the orbital energies of their oxygen neighbors. These calculations provide important insights into the electronic structure of TM and RE dielectrics, and in particular the role of atomic d-state energies in providing a scaling parameter for conduction band offset energies between gate dielectrics and the Si substrate. DA - 2002/11// PY - 2002/11// DO - 10.1016/S0038-1101(02)00160-0 VL - 46 IS - 11 SP - 1687-1697 SN - 1879-2405 KW - transition metal oxides KW - silicates and aluminates KW - high-k dielectrics KW - electronic structure KW - local molecular orbital model ER - TY - JOUR TI - X-ray and Raman analyses of GaN produced by ultrahigh-rate magnetron sputter epitaxy AU - Park, M AU - Maria, JP AU - Cuomo, JJ AU - Chang, YC AU - Muth, JF AU - Kolbas, RM AU - Nemanich, RJ AU - Carlson, E AU - Bumgarner, J T2 - APPLIED PHYSICS LETTERS AB - Thick films of GaN were studied by x-ray diffraction and Raman spectroscopy. The GaN thick films were deposited on (0001) sapphire using ultrahigh-rate magnetron sputter epitaxy with typical growth rates as high as 10–60 μm/min. The width of the x-ray rocking curve from the (0002) reflection for the sample produced by this technique is ∼300 arcsec, which is unprecedented for GaN produced by a sputtering-type process. Our recent sample shows an x-ray rocking curve width of 240 arcsec. Only allowed modes were observed in the polarized Raman spectra. The background free carrier concentration is lower than 3×1016 cm−3. The phonon lifetime of the Raman E2(2) mode of the sputtered GaN was comparable to that of bulk single crystal GaN grown by sublimation. The quality of the film was uniform across the wafer. The film was thermally stable upon annealing in N2 ambient. The x-ray and Raman analyses revealed that the sputtered GaN films are of high crystalline quality. DA - 2002/9/2/ PY - 2002/9/2/ DO - 10.1063/1.1506781 VL - 81 IS - 10 SP - 1797-1799 SN - 0003-6951 ER - TY - JOUR TI - Wire electrical discharge machining of metal bond diamond wheels for ceramic grinding AU - Rhoney, BK AU - Shih, AJ AU - Scattergood, RO AU - Akemon, JL AU - Gust, DJ AU - Grant, MB T2 - INTERNATIONAL JOURNAL OF MACHINE TOOLS & MANUFACTURE AB - The application of cylindrical wire Electrical Discharge Machining (EDM) for profile truing of metal bond diamond wheels is presented. Instead of using the mechanical force to break the diamond and matrix in the grinding wheel, the wire EDM process uses the thermal energy or electrical sparks between the wire and rotating grinding wheel to remove the metal bond and form the wheel. The design and manufacture of a corrosion-resistant, precise spindle with the high-electrical current capability for wire EDM truing of grinding wheel is first introduced. Three truing configurations were designed to study effects of wire EDM process parameters and to investigate the level of form accuracy and corner radii achievable by the wire EDM truing of diamond wheels. Results show that the wire EDM process can efficiently generate the μm-scale precision form on the diamond wheels. The wheel, after truing, was used to grind the silicon nitride workpiece. Grinding forces and wheel wear rate were measured. In the beginning of the grinding, high wheel wear rate was identified. The subsequent wheel wear rate was considerably lower and stabilized. DA - 2002/9// PY - 2002/9// DO - 10.1016/S0890-6955(02)00056-1 VL - 42 IS - 12 SP - 1355-1362 SN - 1879-2170 ER - TY - JOUR TI - Wear mechanism of metal bond diamond wheels trued by wire electrical discharge machining AU - Rhoney, BK AU - Shih, AJ AU - Scattergood, RO AU - Ott, R AU - McSpadden, SB T2 - WEAR AB - The stereographic scanning electron microscopy (SEM) imaging was used to investigate the wear mechanism in wire electrical discharge machining (EDM) truing of metal bond diamond wheels for ceramic grinding. A piece of the grinding wheel was removed after truing and grinding to enable the examination of wheel surface and measurement of diamond protrusion heights using a SEM and stereographic imaging software. The stereographic SEM imaging method was calibrated by comparing with the profilometer measurement results. On the wheel surface after wire EDM truing and before grinding, some diamond grain protruding heights were measured in the 32 μm level. Comparing to the 54 μm average size of the diamond grain, this indicated that over half of the diamond was exposed. During the wire EDM process, electrical sparks occur between the metal bond and EDM wire, which leaves the diamond protruded in the gap between the wire electrode and wheel. These protruding diamond grains with weak bond to the wheel were fractured under a light grinding condition. After heavy grinding, the diamond protrusion heights were estimated in the 5–15 μm range above the wear flat. A cavity created by grinding debris erosion wear of the wheel bond could be identified around the diamond grain. DA - 2002/4// PY - 2002/4// DO - 10.1016/S0043-1648(02)00019-4 VL - 252 IS - 7-8 SP - 644-653 SN - 0043-1648 KW - scanning electron microscopy (SEM) KW - diamond wheels KW - electrical discharge machining (EDM) KW - grinding wheel wear ER - TY - JOUR TI - Topological coarsening of low-molecular-weight block copolymer ultrathin films by environmental AFM AU - Leonard, DN AU - Spontak, RJ AU - Smith, SD AU - Russell, PE T2 - POLYMER AB - Topological coarsening of block copolymer ultrathin films is well-understood for copolymers exhibiting intermediate or strong segregation and differing in film thickness or molecular weight at temperatures above the upper glass transition temperature (Tg), but below the order–disorder transition (TODT), of the copolymers. More recent studies suggest that the stability and topology of such films differ at temperatures above TODT. In this work, we use environmental atomic force microscopy to examine the effect of temperature on the coarsening of block copolymer ultrathin films in situ. Films measuring ca. 25 nm thick consist of a low-molecular-weight poly(styrene-b-isoprene) diblock copolymer for which the upper Tg and TODT in the bulk are about 42 and 70 °C, respectively. Time-resolved image sequences illustrating surface reorganization are obtained at temperatures below, above and near 70 °C. At temperatures very close to 70 °C, coarsening is found to slow markedly, by almost an order of magnitude relative to what is observed at higher and lower temperatures, suggesting that thermal factors may provide a means by which to inhibit the dewetting of block copolymer ultrathin films. DA - 2002/12// PY - 2002/12// DO - 10.1016/S0032-3861(02)00640-7 VL - 43 IS - 25 SP - 6719-6726 SN - 0032-3861 KW - block copolymer KW - polymer dewetting KW - order-disorder transition ER - TY - JOUR TI - Thermally activated plastic flow of metals and ceramics with an electric field or current AU - Conrad, H T2 - MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING AB - The effects of high density electric current pulses (103–106 A cm−2) on the flow stress of metals at low homologous temperatures and of a modest external electric field on the flow stress of fine-grained oxides at high temperatures is presented. The results in both cases are evaluated in terms of thermally-activated plastic deformation processes. In the case of the metals, the influence of an electron wind on each of the parameters in the equation for the thermally-activated motion of dislocations was determined, the largest effect being on the pre-exponential. The derived electron wind push coefficient was one or more orders of magnitude larger than the value normally accepted for the electron drag coefficient. In the case of the oxides, the substantial effect of an applied electric field on the flow stress was evaluated in terms of its influence on the electrochemical potential of vacancies in the space-charge cloud adjacent to the grain boundaries. Both the derived space-charge cloud width and the electric potential/stress parameter Δ∅/Δσ are in reasonable accord with theoretical predictions. DA - 2002/1/15/ PY - 2002/1/15/ DO - 10.1016/s0921-5093(01)01122-4 VL - 322 IS - 1-2 SP - 100-107 SN - 0921-5093 KW - electric current pulses KW - electric field KW - electron wind KW - electron push coefficient KW - electrochemical potential KW - space-charge ER - TY - JOUR TI - Synthesis of metal-loaded poly(aminohexyl)(aminopropyl)silsesquioxane colloids and their self-organization into dendrites AU - Bronstein, LM AU - Linton, C AU - Karlinsey, R AU - Stein, B AU - Svergun, DI AU - Zwanziger, JW AU - Spontak, RJ T2 - NANO LETTERS AB - Hydrolytic condensation of N-(6-aminohexyl)aminopropyltrimethoxysilane (AHAPS) in water results in the formation of spherical colloids measuring 40 to 190 nm in diameter and composed of a nearly fully condensed poly(aminohexyl)(aminopropyl)silsesquioxane (PAHAPS) nanostructure containing C−SiO3/2 species. Interaction of Pt and Pd salts with these PAHAPS colloids, followed by chemical reduction, results in the formation of discrete metal nanoparticles measuring ca. 1−2 nm in diameter stabilized within the colloids. Dendrites differing in size and shape are observed to form from aqueous solutions containing PAHAPS colloids loaded with metal salts or metal nanoparticles. DA - 2002/8// PY - 2002/8// DO - 10.1021/nl025543g VL - 2 IS - 8 SP - 873-876 SN - 1530-6992 ER - TY - JOUR TI - Studies of deformation mechanisms in ultra-fine-grained and nanostructured Zn AU - Zhang, X AU - Wang, H AU - Scattergood, RO AU - Narayan, J AU - Koch, CC AU - Sergueeva, AV AU - Mukherjee, AK T2 - ACTA MATERIALIA AB - The temperature, strain rate, grain size and grain size distribution effects on plastic deformation in ultra-fine-grained (UFG) and nanocrystalline Zn are systematically studied. The decrease of ductility with the decrease of average grain size could be an inherent effect in nanocrystalline materials, that is, not determined by processing artifacts. The superior ductility observed in UFG Zn may originate from both dislocation creep within large grains and grain boundary sliding of small nanograins. The stress exponent for dislocation creep is about 6.6. The activation energy for plastic deformation in UFG Zn is close to the activation energy for grain boundary self diffusion in pure Zn. DA - 2002/11/14/ PY - 2002/11/14/ DO - 10.1016/S1359-6454(02)00349-X VL - 50 IS - 19 SP - 4823-4830 SN - 1359-6454 KW - dislocation creep KW - grain boundary sliding KW - ductility KW - activation energy ER - TY - JOUR TI - Structure and properties of nanocrystalline zinc films AU - Narayan, J AU - Venkatesan, RK AU - Kvit, A T2 - JOURNAL OF NANOPARTICLE RESEARCH DA - 2002/6// PY - 2002/6// DO - 10.1023/A:1019925315398 VL - 4 IS - 3 SP - 265-269 SN - 1572-896X KW - nanocrystalline zinc films KW - Hall-Petch hardening KW - inverse Hall-Petch and softening KW - self-assembly processing KW - nanoscale characterization ER - TY - JOUR TI - Strain and crystallographic tilt in uncoalesced GaN layers grown by maskless pendeoepitaxy AU - Einfeldt, S AU - Roskowski, AM AU - Preble, EA AU - Davis, RF T2 - APPLIED PHYSICS LETTERS AB - The strain in thin GaN layers grown by maskless pendeoepitaxy has been investigated using high-resolution x-ray diffraction and finite-element simulations. The crystallographic tilt of the free-hanging wings was determined to result from the strain relaxation of the seed stripes along [0001]. The impact of the dimensions of the pendeostructure and of the formation of crystal defects on the expected wing tilt is discussed. DA - 2002/2/11/ PY - 2002/2/11/ DO - 10.1063/1.1448145 VL - 80 IS - 6 SP - 953-955 SN - 0003-6951 ER - TY - JOUR TI - Simplified bond-hyperpolarizability model of second harmonic generation: Application to Si-dielectric interfaces AU - Wang, JFT AU - Powell, GD AU - Johnson, RS AU - Lucovsky, G AU - Aspnes, DE T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - We show that the anisotropies of second-harmonic-generation (SHG) intensities of singular and vicinal (111) and (001)Si–dielectric interfaces can be described accurately as dipole radiation originating from the anharmonic motion of bond charges parallel to the bond directions. This simplified bond-hyperpolarizability model not only provides a simpler and mathematically more efficient representation of SHG, but also allows a direct physical interpretation at the bond level, which was lacking in previous approaches. Application to oxidized and nitrided Si–SiO2 interfaces provides new insight into bonding that occurs at these interfaces as well as the origin of SHG. DA - 2002/// PY - 2002/// DO - 10.1116/1.1493783 VL - 20 IS - 4 SP - 1699-1705 SN - 2166-2746 ER - TY - JOUR TI - Nanoscale observation of photoinduced domain pinning and investigation of imprint behavior in ferroelectric thin films AU - Gruverman, A AU - Rodriguez, BJ AU - Nemanich, RJ AU - Kingon, AI T2 - JOURNAL OF APPLIED PHYSICS AB - Piezoresponse force microscopy has been used to investigate the nanoscale mechanism of imprint behavior of ferroelectric PbTiO3 thin films by studying the photoinduced changes in the hysteresis loops of individual grains. Illumination of the film with UV light resulted in a voltage shift opposite to that observed in ferroelectric thin film capacitors. This effect is attributed to the generation of an electric field within the surface dielectric layer as a result of the interaction between photoinduced charges and polarization charges. Application of a small nonswitching bias to the film with simultaneous UV illumination resulted in domain pinning in the grains where the polarization direction coincided with the direction of the applied field, in agreement with the proposed model. Domain pinning was also observed in grains with polydomain structure suggesting that charge entrapment at the existing domain boundaries in the bulk of the film contributes to the suppression of switchable polarization. However, a symmetric character of hysteresis loops observed in such grains implies that charge entrapment in the bulk of the film does not cause the voltage shift. It has been suggested that a thin high-dielectric interfacial layer can improve the imprint behavior of ferroelectric capacitors. DA - 2002/9/1/ PY - 2002/9/1/ DO - 10.1063/1.1497698 VL - 92 IS - 5 SP - 2734-2739 SN - 1089-7550 ER - TY - JOUR TI - Mechanical properties of cyromilled nanocrystalline Zn studied by the miniaturized disk bend test AU - Zhang, X AU - Wang, H AU - Scattergood, RO AU - Narayan, J AU - Koch, CC T2 - ACTA MATERIALIA AB - The miniaturized disk bend test (MDBT) is useful for the study of the mechanical properties of small specimens in tension. The yield stress of cryomilled nanocrystalline Zn measured by MDBT shows periodic hardening and softening with milling time, consistent with the variation of microhardness with milling time. The periodic softening is due to the reduction of dislocation density controlled by dynamic recrystallization. The ductility of these softened nanocrystalline Zn specimens is consistently higher than that of the hardened specimens. Young’s modulus shows little variation with the average grain size. DA - 2002/8/1/ PY - 2002/8/1/ DO - 10.1016/S1359-6454(02)00176-3 VL - 50 IS - 13 SP - 3527-3533 SN - 1359-6454 KW - miniaturized disk bend tests KW - yield stress KW - hardening KW - softening KW - ductility ER - TY - JOUR TI - Lithium/V6O13 cells using silica nanoparticle-based composite electrolyte AU - Li, YX AU - Fedkiw, PS AU - Khan, SA T2 - ELECTROCHIMICA ACTA AB - The electrochemical behavior of Li/V6O13 cells is investigated at room temperature (22 °C) both in liquid electrolyte consisting of oligomeric poly(ethyleneglycol)dimethylether+lithium bis(trifluoromethylsulfonylimide) and composite electrolytes formed by blending the liquid electrolyte with silica nanoparticles (fumed silica). The addition of fumed silica yields a gel-like electrolyte that demonstrates the desirable property of suppressing lithium dendrite growth due to the rigidity and immobility of the electrolyte structure. The lithium/electrolyte interfacial resistance for composite gel electrolytes is less than that for the corresponding base-liquid electrolyte, and the charge–discharge cycle performance and electrochemical efficiency for the Li/V6O13 cell is significantly improved. The effect of fumed silica surface group on the electrochemical performance is discussed; the native hydrophilic silanol surface group appears better than fumed silica that is modified with a hydrophobic octyl surface moiety. DA - 2002/9/12/ PY - 2002/9/12/ DO - 10.1016/S0013-4686(02)00326-2 VL - 47 IS - 24 SP - 3853-3861 SN - 1873-3859 KW - lithium cell KW - composite gel electrolyte KW - silica nanoparticle KW - lithium dendrite KW - vanadium oxide ER - TY - JOUR TI - Interface electronic structure of Ta2O5-Al2O3 alloys for Si- field-effect transistor gate dielectric applications AU - Ulrich, M. D. AU - Johnson, R. S. AU - Hong, J. G. AU - Rowe, J. E. AU - Lucovsky, G. AU - Quinton, J. S. AU - Madey, T. E. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 2002/// PY - 2002/// VL - 20 IS - 4 SP - 1732-1738 ER - TY - JOUR TI - Integration of single crystal La0.7Sr0.3MnO3 films with Si(001) AU - Tiwari, A AU - Chug, A AU - Jin, C AU - Kumar, D AU - Narayan, J T2 - SOLID STATE COMMUNICATIONS AB - We have successfully grown high quality epitaxial La0.7Sr0.3MnO3 (LSMO) films on Si(001) substrate using TiN/MgO/SrTiO3 buffer layers by a pulsed laser deposition technique. The integration of LSMO with Si(100) was realized by domain matching epitaxy of TiN on Si(001) and lattice matching epitaxy of MgO, SrTiO3 and LSMO. During domain matching epitaxy, four lattice constants of TiN match with three of silicon across the TiN/Si(001) interface. X-ray diffraction and transmission electron microscope investigations showed the films to be single phase, single crystalline and epitaxial with (001) orientation. Electrical resistivity measurements showed a metal–insulator transition with a resistivity peak at ∼305 K. Enhanced electron–electron interactions are found to play significant role in LSMO films at low temperatures. DA - 2002/// PY - 2002/// DO - 10.1016/S0038-1098(02)00029-7 VL - 121 IS - 12 SP - 679-682 SN - 0038-1098 KW - thin films KW - laser processing KW - epitaxy KW - scanning and transmission electron microscopy KW - electron-electron interactions ER - TY - JOUR TI - Imaging and engineering the nanoscale-domain structure of a Sr0.61Ba0.39Nb2O6 crystal using a scanning force microscope AU - Terabe, K AU - Takekawa, S AU - Nakamura, M AU - Kitamura, K AU - Higuchi, S AU - Gotoh, Y AU - Gruverman, A T2 - APPLIED PHYSICS LETTERS AB - We have investigated the ferroelectric domain structure formed in a Sr0.61Ba0.39Nb2O6 single crystal by cooling the crystal through the Curie point. Imaging the etched surface structure using a scanning force microscope (SFM) in both the topographic mode and the piezoresponse mode revealed that a multidomain structure of nanoscale islandlike domains was formed. The islandlike domains could be inverted by applying an appropriate voltage using a conductive SFM tip. Furthermore, a nanoscale periodically inverted-domain structure was artificially fabricated using the crystal which underwent poling treatment. DA - 2002/9/9/ PY - 2002/9/9/ DO - 10.1063/1.1506945 VL - 81 IS - 11 SP - 2044-2046 SN - 0003-6951 ER - TY - JOUR TI - Getter requirements for a cathode ray tube with a diamond coated field emitter electron source AU - Nemanic, V. AU - Zumer, M. AU - Zajec, B. AU - Tyler, T. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 2002/// PY - 2002/// VL - 20 IS - 4 SP - 1379-1383 ER - TY - JOUR TI - Epitaxial growth of ZnO films on si(111) AU - Tiwari, A AU - Park, M AU - Jin, C AU - Wang, H AU - Kumar, D AU - Narayan, J T2 - JOURNAL OF MATERIALS RESEARCH DA - 2002/10// PY - 2002/10// DO - 10.1557/JMR.2002.0361 VL - 17 IS - 10 SP - 2480-2483 SN - 2044-5326 ER - TY - JOUR TI - Electronic structure of high-k transition metal oxides and their silicate and aluminate alloys AU - Lucovsky, G. AU - Zhang, Y. AU - Rayner, G. B. AU - Appel, G. AU - Ade, H. AU - Whitten, J. L. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 2002/// PY - 2002/// VL - 20 IS - 4 SP - 1739-1747 ER - TY - JOUR TI - Electronic structure of SiO2: Charge redistribution contributions to the dynamic dipoles/effective charges of the infrared active normal modes AU - Whitten, J. L. AU - Zhang, Y. AU - Menon, M. AU - Lucovsky, G. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 2002/// PY - 2002/// VL - 20 IS - 4 SP - 1710-1719 ER - TY - JOUR TI - Electrical, structural and microstructural characteristics of as-deposited and annealed Pt and Au contacts on chemical-vapor-cleaned GaN thin films AU - Preble, EA AU - Tracy, KM AU - Kiesel, S AU - McLean, H AU - Miraglia, PQ AU - Nemanich, RJ AU - Davis, RF AU - Albrecht, M AU - Smith, DJ T2 - JOURNAL OF APPLIED PHYSICS AB - Schottky contacts of Pt(111) and Au(111) were deposited on chemical-vapor-cleaned, n-type GaN(0001) thin films. The growth mode of the deposition, as determined by x-ray photoelectron spectroscopy analysis, followed the two-dimensional Frank–van der Merwe growth model. The resulting as-deposited metal films were monocrystalline and epitaxial with a (111)//(0002) relationship with the GaN. Selected samples were annealed for three minutes at 400 °C, 600 °C or 800 °C. The rectifying behavior of both contacts degraded at 400 °C; they became ohmic after annealing at 600 °C (Au) or 800 °C (Pt). High-resolution transmission electron micrographs revealed reactions at the metal/GaN interfaces for the higher temperature samples. X-ray diffraction results revealed an unidentified phase in the Pt sample annealed at 800 °C. A decrease in the room temperature in-plane (111) lattice constant for both metals, ranging from −0.1% to −0.5%, was observed as the annealing temperature was increased from 400 to 800 °C. This plastic deformation was caused by tensile stresses along the [111] direction that exceeded the yield strength as a result of the large differences in the coefficients of thermal expansion between the metal contacts and the GaN film. DA - 2002/2/15/ PY - 2002/2/15/ DO - 10.1063/1.1432127 VL - 91 IS - 4 SP - 2133-2137 SN - 0021-8979 ER - TY - JOUR TI - Current-voltage and imaging of TiSi2 islands on Si(001) surfaces using conductive-tip atomic force microscopy AU - Oh, J AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - Using conducting tip atomic force microscopy (c-AFM), we have measured the current–voltage (I–V) characteristics of individual submicron islands of TiSi2 on Si(100) surfaces, and we have developed an imaging approach that distinguishes the electrical properties of the islands. The Schottky barrier height (SBH) of the submicron TiSi2 islands was deduced from the I–V measurements. The results indicate that there is a significant variation of SBH among the islands on the same surface. The measurements employ a conventional AFM with a heavily B-doped diamond tip to obtain the current–voltage relations. In contact mode AFM, electrical signals are extracted independently from the topographic image. In addition, we have modified the imaging method to probe the local electrical properties of a surface with regions of different conductivity. Using a lock-in technique both phase and amplitude images were obtained, and the resultant image is essentially a map of the differential surface conductivity. Using this method, TiSi2 islands on a Si(100) surface were imaged. This approach can be readily extended to other materials systems. DA - 2002/9/15/ PY - 2002/9/15/ DO - 10.1063/1.1499545 VL - 92 IS - 6 SP - 3326-3331 SN - 1089-7550 ER - TY - JOUR TI - Cross-sectional imaging of pendeo-epitaxial GaN using continuous-wave two-photon microphotoluminescence AU - Schuck, PJ AU - Grober, RD AU - Roskowski, AM AU - Einfeldt, S AU - Davis, RF T2 - APPLIED PHYSICS LETTERS AB - A technique utilizing continuous-wave two-photon absorption has been developed for optically sectioning and imaging deep into GaN structures. Imaging at depths greater than 20 μm below the surface of a coalesced pendeo-epitaxial GaN sample is demonstrated. Free and donor-bound excitonic emission in this sample appears to originate at the surface, acceptor-bound exciton transitions are strongest in the top bulk portion of the sample, and subgap luminescence is most intense deep in the sample. The depth resolution of the imaging system is measured to be 1.75 μm near the GaN surface. DA - 2002/9/9/ PY - 2002/9/9/ DO - 10.1063/1.1506948 VL - 81 IS - 11 SP - 1984-1986 SN - 0003-6951 ER - TY - JOUR TI - Copper diffusion characteristics in single-crystal and polycrystalline TaN AU - Wang, H AU - Tiwari, A AU - Zhang, X AU - Kvit, A AU - Narayan, J T2 - APPLIED PHYSICS LETTERS AB - We have investigated the diffusivity of copper in single-crystal (NaCl-structured) and polycrystalline TaN thin films grown by pulsed-laser deposition. Polycrystalline TaN films were grown directly on Si(100), while single-crystal films were grown with TiN buffer layers. Both poly- and single-crystal films with Cu overlayers were annealed at 500, 600, 650, and 700 °C in vacuum to study the copper diffusion characteristics. The diffusion of copper into TaN was studied using scanning transmission electron microscopy (STEM) Z contrast, where the contrast is proportional to Z2 (atomic number), and TEM. The diffusion distances (2Dτ) are found to be about 5 nm at 650 °C for 30 min annealing. The diffusivity of Cu into single-crystal TaN follows the relation D=(160±9.5)exp[−(3.27±0.1)eV/kB T] cm2 s−1 in the temperature range of 600–700 °C. We observe that Cu diffusion in polycrystalline TaN thin films is nonuniform with enhanced diffusivities along the grain boundary. DA - 2002/8/19/ PY - 2002/8/19/ DO - 10.1063/1.1502193 VL - 81 IS - 8 SP - 1453-1455 SN - 0003-6951 ER - TY - JOUR TI - Chemical, electrical, and structural properties of Ni/Au contacts on chemical vapor cleaned p-type GaN AU - Hartlieb, PJ AU - Roskowski, A AU - Davis, RF AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - Chemical vapor cleaned, Mg-doped, p-type GaN(0001) surfaces and Ni/Au contacts deposited on these surfaces have been studied using several characterization techniques. Stoichiometric surfaces without detectable carbon and an 87% reduction in the surface oxygen to 2±1 at. % were achieved. The binding energies of the Ga 3d and N 1s core level photoelectron peaks were reduced by 0.5±0.1 eV following the chemical vapor clean. The band bending at the clean surface was measured to be 0.8±0.1 eV. As-deposited Ni/Au contacts on chemical vapor cleaned surfaces exhibited significantly less rectification in the low voltage region (&lt;2 V) compared to identical contact structures on conventional HCl treated surfaces. The specific contact resistance of these contacts deposited on chemical vapor cleaned surfaces and subsequently annealed at 450 °C for 30 seconds was 3±2 Ω cm2. Improved ohmic behavior and a specific contact resistance of 4±2 Ω cm2 was obtained for contacts deposited on HCl treated surfaces and annealed using the same schedule. The formation of Au:Ga and Au:Ni solid solutions was observed for contacts on HCl treated surfaces following the 450 °C anneal. There were significantly less interfacial reactions for annealed contacts on chemical vapor cleaned surfaces. The values of specific contact resistance, sheet resistance, and transfer length of the annealed contacts deposited on both chemical vapor cleaned and HCl treated surfaces and measured from room temperature to 140 °C did not change during three successive thermal cycles within this range. DA - 2002/6/1/ PY - 2002/6/1/ DO - 10.1063/1.1471578 VL - 91 IS - 11 SP - 9151-9160 SN - 1089-7550 ER - TY - JOUR TI - Aspects of the surface relief definition of bainite AU - Aaronson, HI AU - Rigsbee, JM AU - Muddle, BC AU - Nie, JF T2 - SCRIPTA MATERIALIA AB - Abstract Criticisms of reports that ferrite laths have a sessile interfacial structure are refuted. A local lattice correspondence, achieved across coherent regions between interfacial defects, suffices to produce surface reliefs and martensitic crystallography [Prog. Mater. Sci. 42 (1997) 101]. However, formation of tent-shaped surface reliefs by monocrystalline laths/plates is inconsistent with martensitic growth. DA - 2002/8/2/ PY - 2002/8/2/ DO - 10.1016/S1359-6462(02)00130-6 VL - 47 IS - 3 SP - 207-212 SN - 1359-6462 KW - phase transformations KW - bainite KW - martensitic growth KW - diffusional growth ER - TY - JOUR TI - Application of Nomarski interference contrast microscopy as a thickness monitor in the preparation of transparent, SiG-based, cross-sectional TEM samples AU - Preble, EA AU - McLean, HA AU - Kiesel, SM AU - Miraglia, P AU - Albrecht, M AU - Davis, RF T2 - ULTRAMICROSCOPY AB - Reflected light optical microscopy using a Nomarski prism and a differential interference contrast filter have been employed in concert to achieve a technique that provides an accurate color reference for thickness during the dimpling and ion milling of transparent transmission electron microscopy samples of 6H-SiC(000 1) wafers. The samples had thin films of AIN, GaN, and Au deposited on the SiC substrate. A sequence of variously colored primary and secondary interference bands was observed when the SiC was thinner than 20 microm using an optical microscope. The color bands were correlated with the TEM sample thickness as measured via scanning electron microscopy. The interference contrast was used to provide an indication of the dimpling rate, the ion milling rate, and also the most probable location of perforation, which are useful to reduce sample breakage. The application of pressure during the initial cross-sectional preparation reduced the separation of the two halves of the sample sandwich and resulted in increased shielding of the film surface from ion milling damage. DA - 2002/8// PY - 2002/8// DO - 10.1016/S0304-3991(02)00142-0 VL - 92 IS - 3-4 SP - 265-271 SN - 0304-3991 KW - Nomarski KW - transmission electron microscopy KW - silicon carbide KW - transparent samples ER - TY - JOUR TI - Analysis of Ti-silicide formation with a thin Ta interlayer on Si (100) AU - Jeon, H. AU - Won, H. AU - Kim, Y. AU - Lee, J. AU - Nemanich, R. J. T2 - Journal of the Korean Physical Society DA - 2002/// PY - 2002/// VL - 40 IS - 5 SP - 903-907 ER - TY - JOUR TI - Student Use (and Non-Use) of Instructional Technology AU - Roskowski, A. M. AU - Felder, R. M. AU - Bullard, L. T2 - Journal of SMET Education: Innovations and Research DA - 2002/// PY - 2002/// VL - 2 IS - 2002 SP - 41-45 ER - TY - JOUR TI - Strain-induced tuning of metal-insulator transition in NdNiO3 AU - Tiwari, A AU - Jin, C AU - Narayan, J T2 - APPLIED PHYSICS LETTERS AB - We have used the lattice-mismatch epitaxial strain, induced by the constraint of epitaxy, to tune the metal–insulator (M–I) transition temperature of NdNiO3 films grown on Si(100) substrate. Films were integrated with the Si(100) substrate using several combinations of thin buffer layers. A systematic variation in the electrical transport properties has been observed with the change in the lattice mismatch between NdNiO3 and the buffer layer just below it. It was shown that by the proper selection of the substrate and thickness of film, it is possible to control and precisely tune the M–I transition temperature of NdNiO3 to any desired value between 12 and 300 K (temperature range of this study). Fine control over the M–I transition temperature of these films is likely to boost the potential of these films for their applications in bolometers, actuators, and thermal/optical switches in next-generation perovskite-based microelectronic devices. DA - 2002/5/27/ PY - 2002/5/27/ DO - 10.1063/1.1480475 VL - 80 IS - 21 SP - 4039-4041 SN - 1077-3118 ER - TY - JOUR TI - Nonlinear composition dependence of x-ray photoelectron spectroscopy and Auger electron spectroscopy features in plasma-deposited zirconium silicate alloy thin films AU - Rayner, GB AU - Kang, D AU - Zhang, Y AU - Lucovsky, G T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - The local bonding of Zr, Si, and O atoms in plasma-deposited, and post-deposition annealed Zr silicate pseudobinary alloys [(ZrO2)x(SiO2)1−x] was studied by x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). Systematic decreases in XPS binding energies, and increases in AES kinetic energies with alloy composition x are consistent with an empirical chemical bonding model based on electronegativity equalization; however, there are significant departures from the predicted linear composition dependencies of that model. Deviations from linearity in the XPS compositional dependencies are correlated with dipolar network atom fields as determined from ab initio calculations. The nonlinearities in the x dependence of ZrMVV and OKVV AES spectral features are determined primarily by oxygen–atom coordination dependent shifts in valence band offset energies. The energy spread in the compositional dependence of binding energies (∼1.85 eV) for the XPS Zr 3d5/2 and Si 2p features combined with x-ray absorption spectroscopy data indicates that the conduction band offset energies between the Si substrate and Zr silicate dielectrics are alloy composition independent. Changes in O 1s XPS features in alloys with x∼0.3 to 0.6 as function of annealing temperature are consistent with a previously identified chemical phase separation that occurs after 60 s anneals at 900 °C in a nonoxidizing ambient, Ar. DA - 2002/// PY - 2002/// DO - 10.1116/1.1493788 VL - 20 IS - 4 SP - 1748-1758 SN - 2166-2746 ER - TY - JOUR TI - Mechanism for grain size softening in nanocrystalline Zn AU - Conrad, H AU - Narayan, J T2 - APPLIED PHYSICS LETTERS AB - A technique (laser deposition of Zn/W multilayers) for producing stable nanocrystalline (nc) Zn films free of undesirable imperfections down to a grain size of d=6 nm is presented. These films exhibited grain size softening (inverse Hall–Petch effect) for d⩽11 nm, providing support that this can be a real phenomenon in nc solids. The mechanism responsible for the softening was concluded to be thermally activated grain boundary shear. DA - 2002/9/16/ PY - 2002/9/16/ DO - 10.1063/1.1507353 VL - 81 IS - 12 SP - 2241-2243 SN - 0003-6951 ER - TY - JOUR TI - Mechanical and electrical properties of nanotubes AU - Bernholc, J AU - Brenner, D AU - Nardelli, MB AU - Meunier, V AU - Roland, C T2 - ANNUAL REVIEW OF MATERIALS RESEARCH AB - ▪ Abstract We review the recent progress in our understanding of the mechanical and electrical properties of carbon nanotubes, emphasizing the theoretical aspects. Nanotubes are the strongest materials known, but the ultimate limits of their strength have yet to be reached experimentally. Modeling of nanotube-reinforced composites indicates that the addition of small numbers of nanotubes may lead to a dramatic increase in the modulus, with only minimal crosslinking. Deformations in nanotube structures lead to novel structural transformations, some of which have clear electrical signatures that can be utilized in nanoscale sensors and devices. Chemical reactivity of nanotube walls is facilitated by strain, which can be used in processing and functionalization. Scanning tunneling microscopy and spectroscopy have provided a wealth of information about the structure and electronic properties of nanotubes, especially when coupled with appropriate theoretical models. Nanotubes are exceptional ballistic conductors, which can be used in a variety of nanodevices that can operate at room temperature. The quantum transport through nanotube structures is reviewed at some depth, and the critical roles played by band structure, one-dimensional confinement, and coupling to nanoscale contacts are emphasized. Because disorder or point defect–induced scattering is effectively averaged over the circumference of the nanotube, electrons can propagate ballistically over hundreds of nanometers. However, severe deformations or highly resistive contacts isolate nanotube segments and lead to the formation of quantum dots, which exhibit Coulomb blockade effects, even at room temperature. Metal-nanotube and nanotube-nanotube contacts range from highly transmissive to very resistive, depending on the symmetry of two structures, the charge transfer, and the detailed rehybridization of the wave functions. The progress in terms of nanotube applications has been extraordinarily rapid, as evidenced by the development of several nanotube-based prototypical devices, including memory and logic circuits, chemical sensors, electron emitters and electromechanical actuators. DA - 2002/// PY - 2002/// DO - 10.1146/annurev.matsci.32.112601.134925 VL - 32 SP - 347-+ SN - 1531-7331 KW - composites KW - deformation KW - STM images KW - STS spectra ER - TY - JOUR TI - Growth of epitaxial NdNiO3 and integration with Si(100) AU - Tiwari, A AU - Narayan, J AU - Jin, C AU - Kvit, A T2 - APPLIED PHYSICS LETTERS AB - We have grown epitaxial NdNiO3 films on Si(100) substrate under ambient oxygen pressure using a pulsed-laser deposition method. The integration of NdNiO3 with Si(100) was accomplished by lattice-matching epitaxy of MgO and SrTiO3 and domain matching epitaxy of TiN on Si(100). During domain matching epitaxy, four lattice constants of TiN match with three of silicon across the TiN/Si(100) interface. High-quality epitaxial NdNiO3 film on SrTiO3/MgO/TiN/Si(100) showed a very sharp metal–insulator (MI) phase transition at 200 K. Observed MI transition in epitaxial NdNiO3 is much sharper than that usually observed in bulk and polycrystalline films with more than four orders of magnitude change in resistivity. This MI transition is understood to arise because of the opening of charge transfer gap between Ni3+(3d) and O2−(2p) band. DA - 2002/2/25/ PY - 2002/2/25/ DO - 10.1063/1.1451984 VL - 80 IS - 8 SP - 1337-1339 SN - 1077-3118 ER - TY - JOUR TI - Gallium nitride materials - Progress, status, and potential roadblocks AU - Davis, RF AU - Roskowski, AM AU - Preble, EA AU - Speck, JS AU - Heying, B AU - Freitas, JA AU - Glaser, ER AU - Carlos, WE T2 - PROCEEDINGS OF THE IEEE AB - Metal-organic vapor phase epitaxy (MOVPE) and molecular beam epitaxy (MBE) are the principal techniques for the growth and n-type (Si) and p-type (Mg) doping of III-nitride thin films on sapphire and silicon carbide substrates as well as previously grown GaN films. Lateral and pendeoepitaxy via MOVPE reduce significantly the dislocation density and residual strain in GaN and AlGaN films. However tilt and coalescence boundaries are produced in the laterally growing material. Very high electron mobilities in the nitrides have been realized in radio-frequency plasma-assisted MBE GaN films and in two-dimensional electron gases in the AlGaN/GaN system grown on MOVPE-derived GaN substrates at the crossover from the intermediate growth regime to the droplet regime. State-of-the-art Mg doping profiles and transport properties have been achieved in MBE-derived p-type GaN. The Mg-memory effect, and heterogeneous growth, substrate uniformity, and flux control are significant challenges for MOVPE and MBE, respectively. Photoluminescence (PL) of MOVPE-derived unintentionally doped (UID) heteroepitaxial GaN films show sharp lines near 3.478 eV due to recombination processes associated with the annihilation of free-excitons (FEs) and excitons bound to a neutral shallow donor (D/spl deg/X). DA - 2002/6// PY - 2002/6// DO - 10.1109/JPROC.2002.1021564 VL - 90 IS - 6 SP - 993-1005 SN - 1558-2256 KW - aluminum gallium nitride (AlGaN) KW - defects KW - dislocations KW - dopants KW - electron irradiation KW - electron mobilities KW - excitons KW - Fourier transform infrared (FTIR) absorption KW - gallium nitride (GaN) KW - heteroepitaxy KW - hydride vapor phase epitaxy (HVPE) KW - lateral epitaxial overgrowth KW - magnetic resonance KW - metal-organic vapor phase epitaxy (MOVPE) KW - Mg doping KW - molecular beam epitaxy (MBE) KW - pendeoepitaxy KW - photoluminescence KW - Raman spectroscopy KW - recombinations KW - secondary ion mass spectrometry (SIMS) KW - Si doping KW - two-dimensional electron gas ER - TY - JOUR TI - Electrical characteristics of TaSixNy/SO2/Si structures by Fowler-Nordheim current analysis AU - Suh, YS AU - Heuss, GP AU - Misra, V T2 - APPLIED PHYSICS LETTERS AB - In this letter, the Fowler–Nordheim tunneling in TaSixNy/SiO2/p-Si structures has been analyzed. The effective barrier height at the metal–oxide interface was extracted by Fowler–Nordheim current analysis. The barrier height was found to increase with increased annealing temperature. The barrier height was correlated with the extracted work function from capacitance–voltage analysis. This indicated that the work function of TaSixNy films changes under high temperature annealing from 4.2∼4.3 eV after 400 °C anneals to ∼4.8 eV after 900 °C anneals. We believe that the mechanism that causes the work function to increase is the formation of a Ta-disilicide layer at the interface between the electrode and the dielectric. DA - 2002/2/25/ PY - 2002/2/25/ DO - 10.1063/1.1453478 VL - 80 IS - 8 SP - 1403-1405 SN - 0003-6951 ER - TY - JOUR TI - Bridged double percolation in conductive polymer composites: an electrical conductivity, morphology and mechanical property study AU - Thongruang, W AU - Spontak, RJ AU - Balik, CM T2 - POLYMER AB - Conductive polymer composites are ubiquitous in technological applications and constitute an ongoing topic of tremendous commercial interest. Strategies developed to improve the level of electrical conductivity achieved at a given filler concentration have relied on double-percolated networks induced by immiscible polymer blends, as well as mixtures of fillers in a single polymer matrix, to enhance interparticle connectivity. In this work, we combine these two strategies by examining quaternary composites consisting of high-density polyethylene (HDPE), ultrahigh molecular weight polyethylene (UHMWPE), graphite (G) and carbon fiber (CF). On the basis of our previous findings, we examine the electrical conductivity, morphology, thermal signature and mechanical properties of HDPE/UHMWPE/G systems that show evidence of double percolation. Upon addition of CF, tremendous increases in conductivity are realized. The mechanism by which this increase occurs is termed bridged double percolation to reflect the role of CF in spanning non-conductive regions and enhancing the continuity of conductive pathways. At CF concentrations above the percolation threshold concentration, addition of G promotes increases in conductivity and dynamic storage modulus in which the conductivity increases exponentially with increasing modulus. DA - 2002/6// PY - 2002/6// DO - 10.1016/S0032-3861(02)00180-5 VL - 43 IS - 13 SP - 3717-3725 SN - 0032-3861 KW - polyethylene KW - conductive polymer composites KW - graphite ER - TY - JOUR TI - Block copolymer/homopolymer mesoblends: Preparation and characterization AU - Roberge, RL AU - Patel, NP AU - White, SA AU - Thongruang, W AU - Smith, SD AU - Spontak, RJ T2 - MACROMOLECULES AB - Miscible block copolymer/homopolymer blends are typically prepared from homogeneous solutions in a nonselective solvent. During solvent removal and subsequent annealing, the molecular species comprising such blends organize in such fashion as to lower the system free energy and ideally attain thermodynamic equilibrium. In this work, we investigate nonequilibrium triblock copolymer/homopolymer (ABA/hB) blends generated by diffusing hB molecules from a hB-selective solvent into a lamellar ABA copolymer. Since the copolymer is already microphase-ordered during homopolymer incorporation, we refer to such blends as mesoblends. The mass uptake of hB is found to be strongly dependent on homopolymer molecular weight (MhB), with the maximum solubility scaling as MhB-1. An induction period that scales as MhB1/2 is also observed. Transmission electron microscopy reveals that the morphology of these mesoblends appears to be perforated lamellar, which, in some cases, transforms to cylindrical upon annealing. Dynamic mechanical analysis and differential scanning calorimetry confirm that the A-rich microdomains in the mesoblends are plasticized. The mechanical properties of these mesoblends can be improved upon annealing, but nonetheless differ from those of composition-matched conventional blends. DA - 2002/3/12/ PY - 2002/3/12/ DO - 10.1021/ma0115747 VL - 35 IS - 6 SP - 2268-2276 SN - 1520-5835 ER - TY - JOUR TI - Aggregation rate measurements by zero-angle time-resolved multiangle laser light scattering AU - Wang, K AU - Singh, AK AU - Zanten, JH T2 - LANGMUIR AB - A new method for determining second-order aggregation rate constants via time-resolved multiangle laser light scattering is introduced. A major advantage of this approach is that second-order aggregation rate constants are determined without any assumptions regarding the dimer intraparticle interference or form factor. The second-order aggregation rate constants are calculated from the temporal variation of the zero-angle excess Rayleigh ratio within the context of von Smoluchowski's well-established model of colloidal aggregation. The new method is illustrated with two systems: (1) GM1-bearing liposomes aggregated in the presence of the cholera toxin B subunit and (2) sulfonated polystyrene latex aggregated in the presence of CaCl2. Whereas the method is demonstrated to be particularly well-suited for investigating slow aggregation processes, rapid aggregation processes are also accessible if proper precautions are taken. DA - 2002/3/19/ PY - 2002/3/19/ DO - 10.1021/la011207o VL - 18 IS - 6 SP - 2421-2425 SN - 0743-7463 ER - TY - JOUR TI - Stability of ZrO2 layers on Si (001) during high-temperature anneals under reduced oxygen partial pressures AU - Stemmer, S AU - Chen, ZQ AU - Keding, R AU - Maria, JP AU - Wicaksana, D AU - Kingon, AI T2 - JOURNAL OF APPLIED PHYSICS AB - Electron energy-loss spectroscopy and high-resolution transmission electron microscopy were used to investigate ZrO2 layers grown by electron-beam evaporation in a molecular-beam epitaxy system. ZrO2/Si layers were investigated before and after uncapped annealing at 1000 °C under different oxygen partial pressures. The thickness of a SiO2-like, low-dielectric constant layer at the silicon interface was found to depend on the oxygen partial pressure during annealing. At oxygen partial pressures of about 10−4 torr the interfacial silicon oxide thickness increased through oxygen diffusion through the ZrO2 layer and silicon consumption at the interface. At oxygen partial pressures in the range of approximately 10−5 torr, only a thin (1 nm) interfacial silicon oxide layer was present, as required for low-equivalent oxide thicknesses of gate stacks incorporating alternative oxides. Further reduction of the oxygen partial pressures (about 10−7 torr) during annealing resulted in zirconium silicide formation at the interface. ZrO2 films annealed at the optimal partial pressure for a thin interfacial oxide were found to crystallize and contain no silicon. High-resolution analytical capabilities afforded by scanning transmission electron microscopy techniques proved essential in analyzing the stability of these ultrathin layers. DA - 2002/7/1/ PY - 2002/7/1/ DO - 10.1063/1.1481970 VL - 92 IS - 1 SP - 82-86 SN - 1089-7550 ER - TY - JOUR TI - Seeded growth of AlN bulk single crystals by sublimation AU - Schlesser, R AU - Dalmau, R AU - Sitar, Z T2 - JOURNAL OF CRYSTAL GROWTH AB - AlN bulk single crystals were grown by sublimation of AlN powder at temperatures of 2100–2300°C in an open crucible geometry in a 400 Torr nitrogen atmosphere. Small, single crystalline AlN c-platelets, prepared by vaporization of Al in a nitrogen atmosphere, were used as seeds. Seeded growth occurred preferentially in the crystallographic c-direction, with growth rates exceeding 500 μm/h, while the seed crystals grew only marginally in the c-plane. Transparent, centimeter-sized AlN single crystals were grown within 24 h. Characterization by X-ray diffraction showed that rocking curves around the (0 0 0 2) reflection were very narrow (25 arcsec full-width at half-maximum), thus indicating very high crystalline quality of the material grown on the seeds. DA - 2002/6// PY - 2002/6// DO - 10.1016/S0022-0248(02)01319-2 VL - 241 IS - 4 SP - 416-420 SN - 0022-0248 KW - growth from vapors KW - seed crystals KW - single crystal growth KW - nitrides ER - TY - JOUR TI - Preparation of bulk ultrafine-grained and nanostructured Zn, Al and their alloys by in situ consolidation of powders during mechanical attrition AU - Zhang, X AU - Wang, H AU - Kassem, M AU - Narayan, J AU - Koch, CC T2 - SCRIPTA MATERIALIA AB - Bulk ultrafine-grained (UFG) or nanostructured Zn, Al and their alloys were produced via in situ consolidation of powders by mechanical attrition (MA) at room temperature. In situ consolidation of metal powders during MA may be a promising method to produce bulk UFG or nanostructured materials with full density and less contamination. DA - 2002/5/10/ PY - 2002/5/10/ DO - 10.1016/S1359-6462(02)00048-9 VL - 46 IS - 9 SP - 661-665 SN - 1359-6462 KW - attrition KW - in situ powder consolidations KW - nanocrystal ER - TY - JOUR TI - High temperature nucleation and growth of GaN crystals from the vapor phase AU - Shin, H AU - Thomson, DB AU - Schlesser, R AU - Davis, RF AU - Sitar, Z T2 - JOURNAL OF CRYSTAL GROWTH AB - A vapor phase growth process involving the reaction of Ga vapor and ammonia has been used to grow needle- and platelet-shaped single crystals of GaN at 1130°C. Introduction of the NH3 only at high temperatures reduced the nucleation density, minimized the amount of GaN crust on the Ga source and resulted in larger crystals. A processing map has been constructed with respect to ammonia flow rate and total pressure at 1130°C to achieve control of growth in different crystallographic directions. Platelet growth of GaN was favored using low V/III ratios achieved via low ammonia flow rates and/or low total ammonia pressures and/or an increase in the Ga source temperature. Crystals with aspect ratios c/a<1 were obtained at 1130°C, 430 Torr, and 25–75 sccm of ammonia. Raman spectroscopy revealed that the best platelets were grown at 1130°C using ammonia flow rates of 60 sccm and a Ga source temperature of 1260°C. Seeded growth from previously grown needles and platelets at lateral and vertical rates of ∼25 and ∼10 μm/h, respectively, was achieved using these growth parameters. DA - 2002/6// PY - 2002/6// DO - 10.1016/S0022-0248(02)01290-3 VL - 241 IS - 4 SP - 404-415 SN - 1873-5002 KW - crystal morphology KW - nucleation KW - growth from vapor KW - seed crystals KW - nitrides KW - semiconducting gallium compounds ER - TY - JOUR TI - Fixed charge and interface traps at heterovalent interfaces between Si(100) and non-crystalline Al2O3-Ta2O5 alloys AU - Johnson, RS AU - Lucovsky, G AU - Hong, JG T2 - APPLIED SURFACE SCIENCE AB - Characterization by Auger electron spectroscopy (AES) and Fourier transformation infrared spectroscopy (FTIR) confirms (Ta2O5)x(Al2O3)1−x alloys are homogeneous pseudo-binary alloys with increased thermal stability with respect to end member oxides, Ta2O5 and Al2O3. Capacitance–voltage (C–V) and current density–voltage (J–V) data as a function of temperate show that the Ta d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta2O5 with respect to Si. DA - 2002/5/8/ PY - 2002/5/8/ DO - 10.1016/S0169-4332(01)00889-3 VL - 190 IS - 1-4 SP - 43-47 SN - 1873-5584 KW - heterovalent interface KW - interface traps KW - fixed charge KW - trapped limited transport KW - Poole-Frenkel transport ER - TY - JOUR TI - Electronic properties of heteroepitaxial undoped and n-InSb epilayers using SnTe source by molecular beam epitaxy AU - Rawdanowicz, TA AU - Iyer, S AU - Mitchel, WC AU - Saxler, A AU - Elhamri, S T2 - JOURNAL OF APPLIED PHYSICS AB - We report on the electrical characteristics of InSb and n-type doping of InSb layers grown on GaAs substrates using a SnTe captive source by molecular beam epitaxy (MBE). The undoped epilayers are n-type in the temperature range of 10 to 300 K investigated. Doped layer with carrier concentrations ranging from 2×1016/cm3 to 3.2×1018/cm3 with corresponding x-ray full width at half maxima varying from 170–200 arcsec have been achieved. High carrier mobility of 94 098 cm2/V s on lightly doped samples has been achieved. These results suggest SnTe source as being one of the donor dopants of choice for MBE grown InSb epilayers. Temperature and magnetic field dependent Hall and resistivity measurements with various multicarrier conduction analysis techniques indicate three conduction channels for undoped InSb and two conduction channels for doped InSb. They have been used successfully to explain the temperature and thickness dependence of the electrical properties of MBE grown undoped and doped InSb epilayers. DA - 2002/7/1/ PY - 2002/7/1/ DO - 10.1063/1.1476086 VL - 92 IS - 1 SP - 296-301 SN - 0021-8979 ER - TY - JOUR TI - Direct fusion bonding of silicon to polycrystalline diamond AU - Wolter, SD AU - Yushin, GN AU - Okuzumi, F AU - Stoner, BR AU - Prater, JT AU - Sitar, Z T2 - DIAMOND AND RELATED MATERIALS AB - High temperature fusion of silicon to diamond is reported. Polished, randomly oriented diamond films and unpolished (100) highly oriented diamond films were bonded to single-side polished (100) silicon in a dedicated ultrahigh vacuum bonding apparatus. Direct bonding under an applied uniaxial stress of ∼32 MPa was observed at temperatures above 950 °C. The bonded interface was examined by scanning acoustic microscopy revealing only partial bonding at fusion temperatures of 950 and 1050 °C. In contrast, complete bonding was evidenced at 1150 and 1200 °C, although cracking of the diamond films became more prominent at these higher fusion temperatures. DA - 2002/// PY - 2002/// DO - 10.1016/S0925-9635(01)00608-2 VL - 11 IS - 3-6 SP - 482-486 SN - 0925-9635 KW - silicon-on-diamond KW - fusion bonding KW - diamond thin films ER - TY - JOUR TI - Correlated electrical conductivity and mechanical property analysis of high-density polyethylene filled with graphite and carbon fiber AU - Thongruang, W AU - Spontak, RJ AU - Balik, CM T2 - POLYMER AB - The development of conductive polymer composites remains an important endeavor in light of growing energy concerns. In the present work, graphite (G), carbon fiber (CF) and G/CF mixtures are added to high-density polyethylene (HDPE) to discern if mixed fillers afford appreciable advantages over single fillers. The effects of filler type and composition on electrical conductivity, composite morphology and mechanical properties have been examined and correlated to establish structure–property relationships. The threshold loading levels required for G and CF to achieve measurable conductivity in HDPE have been identified. Addition of CF to HDPE/G composites is found to increase the conductivity relative to that of HDPE/G composites at the same filler concentration. This observed increase depends on CF length and becomes more pronounced at and beyond the threshold loading of the HDPE/G composite. Scanning electron microscopy is employed to elucidate the morphology of these multicomponent composites, whereas dynamic mechanical analysis reveals that filler concentration, composition and CF length impact both the magnitude and temperature dependence of the dynamic storage modulus. DA - 2002/4// PY - 2002/4// DO - 10.1016/S0032-3861(02)00043-5 VL - 43 IS - 8 SP - 2279-2286 SN - 0032-3861 KW - high-density polyethylene KW - polymer composite KW - electrical conductivity ER - TY - JOUR TI - Virtual molecular design of an environment-responsive nanoporous system AU - Adiga, SP AU - Brenner, DW T2 - NANO LETTERS AB - Molecular dynamics simulations have been used to explore a “smart” nanoporous system that can open and close in response to environmental conditions. The dynamic pore sizing capability is produced by a rod−globule transition in comb polymer molecules that are anchored to the inside of a nanometer-scale pore. The simulations indicate that changing the solvent quality can produce a significant change in pore opening, which in turn can be used to selectively trap large molecules while allowing smaller molecules to diffuse freely through the pore. The simulation results suggest that nanoporous systems of this type could be designed to respond to changes in environmental conditions such as pH, temperature, and solute concentration, with a variety of potential applications including smart drug delivery, controlled chemical release, ultrafiltration, and as molecular sieves. DA - 2002/6// PY - 2002/6// DO - 10.1021/nl025527j VL - 2 IS - 6 SP - 567-572 SN - 1530-6984 ER - TY - JOUR TI - TiC nanoisland formation on 6H-SiC(0001)(Si) AU - Platow, W AU - Oh, J AU - Nemanich, RJ AU - Sayers, DE AU - Hartman, JD AU - Davis, RF T2 - JOURNAL OF APPLIED PHYSICS AB - Spontaneous formation of titanium carbide nanoislands on silicon carbide substrates has been studied with scanning tunneling microscopy and x-ray absorption near-edge spectroscopy. Scratch-free and atomically flat 6H–SiC(0001)Si substrates were prepared by high temperature hydrogen etching. The surfaces were subsequently cleaned by in situ ultrahigh vacuum annealing. Titanium carbide nanoislands were formed by titanium deposition and annealing at 950 °C. The average width (10–50 nm) and separation of the Ti islands was controlled by varying the titanium coverage (0.1–0.3 nm) and the annealing time (1–20 min). At the lowest coverage, the islands were uniformly distributed over the surface, while at higher coverage the islands tended to collect at the substrate step edges. DA - 2002/5/1/ PY - 2002/5/1/ DO - 10.1063/1.1465121 VL - 91 IS - 9 SP - 6081-6084 SN - 0021-8979 ER - TY - JOUR TI - Temperature and thickness dependent permittivity of (Ba,Sr)TiO3 thin films AU - Parker, CB AU - Maria, JP AU - Kingon, AI T2 - APPLIED PHYSICS LETTERS AB - The temperature and thickness dependence of permittivity of (Ba,Sr)TiO3 has been investigated. The films were deposited by liquid-source metalorganic chemical vapor deposition onto Pt/SiO2/Si, with thicknesses ranging from 15 to 580 nm. The dielectric response was measured from 100 to 520 K. As film thickness decreased, the maximum dielectric constant decreased, the temperature at which the maximum dielectric constant occurred decreased, and the peak in the dielectric constant became more diffuse. A model incorporating a thickness independent interior and a nonferroelectric surface cannot account for these thickness dependencies. To appropriately model these observations a physical model containing thickness and temperature dependent interior and surface components is necessary. DA - 2002/7/8/ PY - 2002/7/8/ DO - 10.1063/1.1490148 VL - 81 IS - 2 SP - 340-342 SN - 1077-3118 ER - TY - JOUR TI - Surface instability and associated roughness during conventional and pendeo-epitaxial growth of GaN(0001) films via MOVPE AU - Roskowski, AM AU - Miraglia, PQ AU - Preble, EA AU - Einfeldt, S AU - Davis, RF T2 - JOURNAL OF CRYSTAL GROWTH AB - Investigations of the origins of surface roughening in GaN(0 0 0 1) have resulted in the development of a growth process route having an optimum temperature of 1020°C and a film thickness beyond 2.5 μm which results in films with the smoothest surface morphology. Atomic force microscopy (AFM) revealed uncoalesced GaN islands and hillocks for non-optimum temperatures below and above 1020°C, respectively. Uncoalesced islands were a result of insufficient lateral growth. Hillocks were a result of the rotation of heterogeneous steps formed at pure screw or mixed dislocations which terminated on the (0 0 0 1) surface. Growth of the latter features was controlled kinetically by temperature through adatom diffusion. The 106 cm−2 density of hillocks was reduced through growth on thick GaN templates and regions of pendeo-epitaxy (PE) overgrowth with lower pure screw or mixed dislocations. Smooth PE surfaces were obtained at temperatures that reduced the lateral to vertical growth rate but also retarded hillock growth that originated in the stripe regions. The (112̄0) PE sidewall surface was atomically smooth, with a root mean square roughness value of 0.17 nm, which was the noise limited resolution of the AFM measurements. DA - 2002/5// PY - 2002/5// DO - 10.1016/S0022-0248(02)00943-0 VL - 241 IS - 1-2 SP - 141-150 SN - 0022-0248 KW - characterization KW - defects KW - surface structure KW - metalorganic vapor phase epitaxy KW - pendeoepitaxy KW - semiconducting gallium compounds ER - TY - JOUR TI - Simplified bond-hyperpolarizability model of second harmonic generation AU - Powell, GD AU - Wang, JF AU - Aspnes, DE T2 - PHYSICAL REVIEW B AB - We show that the anisotropies of second-harmonic-generation (SHG) intensities of vicinal (111) and $(001){\mathrm{S}\mathrm{i}\ensuremath{-}\mathrm{S}\mathrm{i}\mathrm{O}}_{2}$ interfaces can be described accurately as dipole radiation originating from the anharmonic motion of bond charges strictly along bond directions. This simplified bond-hyperpolarizability model not only substantially simplifies the description of SHG, but also provides a microscopically physical and mathematically more efficient picture of the process than those found in standard phenomenological treatments employing tensor or Fourier coefficients. Using this approach we obtain an analytic solution for the expected response of (111) terraces, and by comparing to data show that the effective angles of incidence and observation for the $(111){\mathrm{S}\mathrm{i}\ensuremath{-}\mathrm{S}\mathrm{i}\mathrm{O}}_{2}$ interface are not those measured in the laboratory but correspond to those refracted at the air-${\mathrm{SiO}}_{2}$ interface. For (111) vicinal interfaces at 765 nm SHG absorption is found to occur mainly for the step bond. The success of this formulation indicates that in many, if not most, cases the description of SHG may be simpler than that of the linear-optical response. DA - 2002/5/15/ PY - 2002/5/15/ DO - 10.1103/physrevb.65.205320 VL - 65 IS - 20 SP - SN - 2469-9969 ER - TY - JOUR TI - Role of nitrogen-related complexes in the formation of defects in N-Cz silicon wafers AU - Karoui, A. AU - Karoui, F. S. AU - Yang, D. AU - Rozgonyi, G. A. T2 - Gettering and defect engineering in semiconductor technology DA - 2002/// PY - 2002/// VL - 82-84 IS - 2002 SP - 69-74 ER - TY - JOUR TI - Production of thin calcium phosphate coatings from glass source materials AU - Haman, JD AU - Scripa, RN AU - Rigsbee, JM AU - Lucas, LC T2 - JOURNAL OF MATERIALS SCIENCE-MATERIALS IN MEDICINE DA - 2002/2// PY - 2002/2// DO - 10.1023/A:1013882029896 VL - 13 IS - 2 SP - 175-184 SN - 1573-4838 ER - TY - JOUR TI - Plastic deformation kinetics of electrodeposited Cu foil at low and intermediate homologous temperatures AU - Conrad, H AU - Yang, D T2 - JOURNAL OF ELECTRONIC MATERIALS DA - 2002/4// PY - 2002/4// DO - 10.1007/s11664-002-0148-x VL - 31 IS - 4 SP - 304-312 SN - 0361-5235 KW - grain size KW - grain boundary shear KW - dislocation pileup KW - cross slip KW - intersection of dislocations ER - TY - JOUR TI - Modifying nylon and polypropylene fabrics with atmospheric pressure plasmas AU - McCord, MG AU - Hwang, YJ AU - Hauser, PJ AU - Qiu, Y AU - Cuomo, JJ AU - Hankins, OE AU - Bourham, MA AU - Canup, LK T2 - TEXTILE RESEARCH JOURNAL AB - Polypropylene and nylon 66 fabrics are subjected to atmospheric pressure He and He-O 2 plasmas for selected exposure time intervals. Scanning electron microscopy anal ysis of the fabrics shows no apparent changes in the plasma-treated nylon fiber surfaces, but significant surface morphological changes for the polypropylene. Surface analyses of the nylon filaments reveal small differences in the surface carbon and oxygen contents between the treated and control groups. The surface oxygen and nitrogen content of the polypropylene fabric increases significantly after treatment in both He and He-O 2 plasmas. There is a slight decrease in nylon fabric tensile strength after treatment in He plasma for 3 minutes, while. there is no significant change in tensile strength of the nylon fabric treated with He-O 2 after exposure times of up to 8 minutes. DA - 2002/6// PY - 2002/6// DO - 10.1177/004051750207200605 VL - 72 IS - 6 SP - 491-498 SN - 0040-5175 ER - TY - JOUR TI - Improved magnetic properties of self-assembled epitaxial nickel nanocrystallites in thin-film ceramic matrix AU - Kumar, D AU - Zhou, H AU - Nath, TK AU - Kvit, AV AU - Narayan, J AU - Craciun, V AU - Singh, RK T2 - JOURNAL OF MATERIALS RESEARCH DA - 2002/4// PY - 2002/4// DO - 10.1557/JMR.2002.0107 VL - 17 IS - 4 SP - 738-742 SN - 0884-2914 ER - TY - JOUR TI - Heat transports in the Indian Ocean estimated from TOPEX/POSEIDON altimetry and model simulations AU - Manghnani, V AU - Morrison, JM AU - Xie, LA AU - Subrahmanyam, B T2 - DEEP-SEA RESEARCH PART II-TOPICAL STUDIES IN OCEANOGRAPHY AB - Estimates of the heat budget of the Indian Ocean computed using TOPEX/Poseidon (T/P) sea-level anomalies and the Miami Isopycnal Coordinate Ocean Model are compared to study the redistribution of heat in the Indian Ocean. In particular, the horizontal heat transport and heat storage are used because they typically change on time scales of months or years or longer, and are therefore a predictable element of the climate system. The results show that T/P-derived heat storage is weaker than that derived from the model but has similar spatial structure and temporal evolution. Complex principal component analysis shows that there are two main modes of heat content redistribution in the Indian Ocean. The most dominant mode has an annual signal peaking in the boreal summer, and depicts the response to strong southwest monsoon winds. This involves offshore propagation of heat in the northern Indian Ocean and southward propagation of heat across the equator. The other main mode of heat content redistribution in the Indian Ocean results from westward propagating equatorial Rossby waves. This process is prominent in the boreal fall to spring, and represents the dynamic readjustment of the Indian Ocean to near-equatorial wind forcing. This mode indirectly relates to the dipole mode index in the Indian Ocean. The minima of this time series coincide with the occurrence of the anomalous dipole structure in the equatorial Indian Ocean. DA - 2002/// PY - 2002/// DO - 10.1016/S0967-0645(01)00153-9 VL - 49 IS - 7-8 SP - 1459-1480 SN - 1879-0100 ER - TY - JOUR TI - Growth and decomposition of bulk GaN: role of the ammonia/nitrogen ratio AU - Shin, H AU - Arkun, E AU - Thomson, DB AU - Miraglia, P AU - Preble, E AU - Schlesser, R AU - Wolter, S AU - Sitar, Z AU - Davis, RF T2 - JOURNAL OF CRYSTAL GROWTH AB - Gallium nitride crystals grown via vapor-phase transport processes that incorporate ammonia as the only source of nitrogen below atmospheric pressures experience significant surface roughening and the eventual cessation of growth. Investigations of these phenomena in this research, and in the context of the discovery of a non-ceasing process route to larger GaN crystals, showed that the RMS values of the surface roughness of single crystal GaN (0 0 0 1) films exposed to pure ammonia flowing at 60 sccm for 2 h at 1130°C increased from the as-received value of 3.7–6.8 nm, 21.4 and 32.6 nm at 100, 430 and 760 Torr, respectively. Quadrupole mass spectrometry revealed that the concentrations of H2 and N2 measurably increased at pressures above 400 Torr. The primary reason for the increased roughness above 430 Torr was the enhanced etching of GaN via reaction with atomic and molecular hydrogen derived from the dissociation of the ammonia. At lower pressures, the decomposition of the GaN via the formation and evaporation of N2 and Ga increased in importance relative to etching for enhancing surface roughness. Dilution with nitrogen reduced the amount of hydrogen generated from the dissociation of the ammonia. The GaN surface annealed at 1130°C and 430 Torr in ammonia diluted with 33 vol% N2 maintained the smoothest surface with a nominal RMS value of 10.4 nm. Mixtures with higher and lower percentages of N2 showed enhanced roughness under the same conditions. Use of this optimum gas mixture also allowed the seeded growth of a 1.5×1.5×2.0 mm3 GaN crystal and a 2.3×1.8×0.3 mm3 thick platelet with neither observable decomposition nor cessation of the growth over periods of 36 and 48 h, respectively. DA - 2002/3// PY - 2002/3// DO - 10.1016/S0022-0248(02)00825-4 VL - 236 IS - 4 SP - 529-537 SN - 0022-0248 KW - decomposition KW - growth from vapor KW - single crystal growth KW - gallium compounds KW - nitrides semi-conducting III-V materials ER - TY - JOUR TI - Enhanced low-temperature thermionic field emission from surface-treated N-doped diamond films AU - Kock, FAM AU - Garguilo, JM AU - Brown, B AU - Nemanich, RJ T2 - DIAMOND AND RELATED MATERIALS AB - Nitrogen-doped diamond films have been synthesized for application as a low-temperature thermionic field-emission cathode. The critical result of this study is the observation of uniform electron emission from UV photo-excitation and from thermionic field emission for films terminated with hydrogen or a 0.3-nm Ti layer. The samples were imaged with photoelectron emission microscopy (PEEM) and thermionic field-emission electron microscopy (T-FEEM) at temperatures up to 900 °C, and the electron emission current was recorded vs. the applied voltage. Hydrogen-passivated films show enhanced electron emission, but become unstable at elevated temperatures, while Ti-terminated films showed similar enhanced emission at temperatures up to 950 °C. Temperature-dependent I/V measurements show strongly increased electron emission at higher temperatures, suggesting that electron emission originates from the conduction band. These results indicate a promising new material for the production of low-temperature, high-brightness electron sources. DA - 2002/// PY - 2002/// DO - 10.1016/S0925-9635(02)00006-7 VL - 11 IS - 3-6 SP - 774-779 SN - 1879-0062 KW - chemical vapor deposition (CVD) KW - diamond KW - field emission ER - TY - JOUR TI - Electron trapping in noncrystalline remote plasma deposited Hf- aluminate alloys for gate dielectric applications AU - Johnson, R. S. AU - Hong, J. G. AU - Hinkle, C. AU - Lucovsky, G. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 2002/// PY - 2002/// VL - 20 IS - 3 SP - 1126-1131 ER - TY - JOUR TI - Effect of H-2 on nitrogen incorporation in the metalorganic chemical vapor deposition of GaAs1-yNy (0 <= y <= 0.08) AU - Moody, BF AU - Barletta, PT AU - El-Masry, NA AU - Roberts, JC AU - Aumer, ME AU - LeBoeuf, SF AU - Bedair, SM T2 - APPLIED PHYSICS LETTERS AB - The effect of hydrogen on the incorporation of nitrogen in GaAs1−yNy grown by metalorganic chemical vapor deposition (MOCVD) is reported. Nitrogen content as high as y=0.081 has been achieved when the use of H2 is completely avoided in the MOCVD growth of GaAs1−yNy. When H2 is added to the growth ambient, the value of y in GaAs1−yNy decreases as the relative percent of H2 in the carrier gas increases. We will report on the properties of these GaAsN films and discuss the nature of the effect that H2 has on modulating the N content in these films. DA - 2002/4/8/ PY - 2002/4/8/ DO - 10.1063/1.1464225 VL - 80 IS - 14 SP - 2475-2477 SN - 0003-6951 ER - TY - JOUR TI - Dielectric functions and optical bandgaps of high-K dielectrics for metal-oxide-semiconductor field-effect transistors by far ultraviolet spectroscopic ellipsometry AU - Lim, SG AU - Kriventsov, S AU - Jackson, TN AU - Haeni, JH AU - Schlom, DG AU - Balbashov, AM AU - Uecker, R AU - Reiche, P AU - Freeouf, JL AU - Lucovsky, G T2 - JOURNAL OF APPLIED PHYSICS AB - A far ultraviolet (UV) spectroscopic ellipsometer system working up to 9 eV has been developed, and applied to characterize high-K-dielectric materials. These materials have been gaining greater attention as possible substitutes for SiO2 as gate dielectrics in aggressively scaled silicon devices. The optical properties of four representative high-K bulk crystalline dielectrics, LaAlO3, Y2O3-stabilized HfO2 (Y2O3)0.15–(HfO2)0.85, GdScO3, and SmScO3, were investigated with far UV spectroscopic ellipsometry and visible-near UV optical transmission measurements. Optical dielectric functions and optical band gap energies for these materials are obtained from these studies. The spectroscopic data have been interpreted in terms of a universal electronic structure energy scheme developed form ab initio quantum chemical calculations. The spectroscopic data and results provide information that is needed to select viable alternative dielectric candidate materials with adequate band gaps, and conduction and valence band offset energies for this application, and additionally to provide an optical metrology for gate dielectric films on silicon substrates. DA - 2002/4/1/ PY - 2002/4/1/ DO - 10.1063/1.1456246 VL - 91 IS - 7 SP - 4500-4505 SN - 1089-7550 ER - TY - JOUR TI - A second-generation reactive empirical bond order (REBO) potential energy expression for hydrocarbons AU - Brenner, DW AU - Shenderova, OA AU - Harrison, JA AU - Stuart, SJ AU - Ni, B AU - Sinnott, SB T2 - JOURNAL OF PHYSICS-CONDENSED MATTER AB - A second-generation potential energy function for solid carbon and hydrocarbon molecules that is based on an empirical bond order formalism is presented. This potential allows for covalent bond breaking and forming with associated changes in atomic hybridization within a classical potential, producing a powerful method for modelling complex chemistry in large many-atom systems. This revised potential contains improved analytic functions and an extended database relative to an earlier version (Brenner D W 1990 Phys. Rev. B 42 9458). These lead to a significantly better description of bond energies, lengths, and force constants for hydrocarbon molecules, as well as elastic properties, interstitial defect energies, and surface energies for diamond. DA - 2002/2/4/ PY - 2002/2/4/ DO - 10.1088/0953-8984/14/4/312 VL - 14 IS - 4 SP - 783-802 SN - 0953-8984 ER - TY - JOUR TI - A molecular orbital model for the electronic structure of transition metal atoms in silcate and aluminate alloys AU - Lucovsky, G AU - Whitten, JL AU - Zhang, Y T2 - APPLIED SURFACE SCIENCE AB - Applied to transition metal oxides and silicate and aluminate alloys, a classification scheme that separates non-crystalline dielectrics into three groups with different amorphous morphologies, demonstrates a direct correlation between stability against crystallization and oxygen atom coordination. It also provides a local bonding model for molecular orbital (MO), calculations that are based on the coordination and symmetry of transition metal atoms and the orbital energies of their oxygen neighbors. These calculations provide important insights into the electronic structure of transition metal dielectrics, e.g. the role of anti-bonding d-states in determining conduction band offset energies with respect to Si. DA - 2002/5/8/ PY - 2002/5/8/ DO - 10.1016/S0169-4332(01)00835-2 VL - 190 IS - 1-4 SP - 48-55 SN - 1873-5584 KW - morphological classification of non-crystalline dielectrics KW - transition metal silicates and aluminates KW - molecular orbital calculations KW - electronic structure KW - band offset energies ER - TY - JOUR TI - Volume-exclusion effects in polyethylene blends filled with carbon black, graphite, or carbon fiber AU - Thongruang, W AU - Balik, CM AU - Spontak, RJ T2 - JOURNAL OF POLYMER SCIENCE PART B-POLYMER PHYSICS AB - Abstract Conductive polymer composites possessing a low percolation‐threshold concentration as a result of double percolation of a conductive filler and its host phase in an immiscible polymer blend afford a desirable alternative to conventional composites. In this work, blends of high‐density polyethylene (HDPE) and ultrahigh molecular weight polyethylene (UHMWPE) were used to produce ternary composites containing either carbon black (CB), graphite (G), or carbon fiber (CF). Blend composition had a synergistic effect on electrical conductivity, with pronounced conductivity maxima observed at about 70–80 wt % UHMWPE in the CB and G composites. A much broader maximum occurred at about 25 wt % UHMWPE in composites prepared with CF. Optical and electron microscopies were used to ascertain the extent to which the polymers, and hence filler particles, are segregated. Differential scanning calorimetry of the composites confirmed that the constituent polymers are indistinguishable in terms of their thermal signatures and virtually unaffected by the presence of any of the fillers examined here. Dynamic mechanical analysis revealed that CF imparts the greatest stiffness and thermal stability to the composites. © 2002 Wiley Periodicals, Inc. J Polym Sci Part B: Polym Phys 40: 1013–1023, 2002 DA - 2002/5/15/ PY - 2002/5/15/ DO - 10.1002/polb.10157 VL - 40 IS - 10 SP - 1013-1025 SN - 0887-6266 KW - high-density polyethylene (HDPE) KW - ultrahigh molecular weight polyethylene KW - (UHMWPE) KW - polymer composite KW - percolation threshold KW - conductivity KW - viscoelasticity KW - blends KW - composites KW - fillers KW - mechanical properties KW - polyethylene (PE) KW - thermal properties ER - TY - JOUR TI - Ultrapermeable, reverse-selective nanocomposite membranes AU - Merkel, TC AU - Freeman, BD AU - Spontak, RJ AU - He, Z AU - Pinnau, I AU - Meakin, P AU - Hill, AJ T2 - SCIENCE AB - Polymer nanocomposites continue to receive tremendous attention for application in areas such as microelectronics, organic batteries, optics, and catalysis. We have discovered that physical dispersion of nonporous, nanoscale, fumed silica particles in glassy amorphous poly(4-methyl-2-pentyne) simultaneously and surprisingly enhances both membrane permeability and selectivity for large organic molecules over small permanent gases. These highly unusual property enhancements, in contrast to results obtained in conventional filled polymer systems, reflect fumed silica-induced disruption of polymer chain packing and an accompanying subtle increase in the size of free volume elements through which molecular transport occurs, as discerned by positron annihilation lifetime spectroscopy. Such nanoscale hybridization represents an innovative means to tune the separation properties of glassy polymeric media through systematic manipulation of molecular packing. DA - 2002/4/19/ PY - 2002/4/19/ DO - 10.1126/science.1069580 VL - 296 IS - 5567 SP - 519-522 SN - 0036-8075 ER - TY - JOUR TI - Surface transport kinetics in low-temperature silicon deposition determined from topography evolution AU - Bray, KR AU - Parsons, GN T2 - PHYSICAL REVIEW B AB - In this article, surface transport kinetics during low-temperature silicon thin film deposition are characterized using time dependent surface topography and dynamic scaling models. Analysis of surface morphology indicates that diffusion of adsorbed species dominates surface transport, with a characteristic diffusion length that increases with surface temperature. A diffusion activation barrier of $\ensuremath{\sim}0.2 \mathrm{eV}$ is obtained, consistent with hydrogen-mediated adspecies diffusion on the growth silicon surface. Samples are compared over a range of deposition temperatures (25 to $350\ifmmode^\circ\else\textdegree\fi{}\mathrm{C})$ and film thickness (20 to $5000 \AA{})$ deposited using silane with helium or argon dilution, on glass and silicon substrates. Self-similar surface structure is found to depend on detailed film growth conditions, but is independent of film thickness after nuclei coalescence. For films deposited using helium dilution, static and dynamic scaling parameters are consistent with self-similar fractal geometry scaling, and the lateral correlation length increases from 45 to 150 nm as temperature increases from 25 to $150\ifmmode^\circ\else\textdegree\fi{}\mathrm{C}.$ These results are discussed in relation to current silicon deposition models and with topography evolution observed during low temperature growth of other amorphous material systems. DA - 2002/1/15/ PY - 2002/1/15/ DO - 10.1103/physrevb.65.035311 VL - 65 IS - 3 SP - SN - 2469-9969 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000173448900070&KeyUID=WOS:000173448900070 ER - TY - JOUR TI - Superhard phase composed of single-wall carbon nanotubes AU - Popov, M AU - Kyotani, M AU - Nemanich, RJ AU - Koga, Y T2 - PHYSICAL REVIEW B AB - Single-wall carbon nanotubes (SWNT's) have been studied under pressure up to 55 GPa. We report experimental data on irreversible changes of mechanical and structure properties of SWNT under pressure. The new superhard phase (SP-SWNT) composed of single-wall carbon nanotubes has been studied which exhibits a bulk modulus exceeding or comparable with diamond and hardness belongs to the range between cubic BN and diamond. The SP-SWNT were synthesized by applying a shear deformation under load in a diamond-anvil cell; the procedure of stress tensor variation. After intermediate phase transitions, single-wall carbon nanotubes are transformed to SP-SWNT at pressure of 24 GPa. The transformation is accompanied by irreversible changes in the Raman spectra. The nanotubes do not collapse at least up to pressure 55 GPa (maximum pressure of the study). Bulk modulus of 462 to 546 GPa was found out for SP-SWNT from the comparative study of pressure dependence of the Raman modes of SP-SWNT (high-energy mode \ensuremath{\sim}1590 ${\mathrm{cm}}^{\mathrm{\ensuremath{-}}1}$) and diamond (1333 ${\mathrm{cm}}^{\mathrm{\ensuremath{-}}1}$). This value exceeds the bulk modulus of diamond (420 GPa for single diamond crystal). Hardness measurements were performed using nanoindentation technique. Hardness of SP-SWNT (62 to 150 GPa) was found out from comparative study of SP-SWNT, diamond and cubic BN. DA - 2002/1/15/ PY - 2002/1/15/ DO - 10.1103/physrevb.65.033408 VL - 65 IS - 3 SP - SN - 2469-9969 ER - TY - JOUR TI - Stacking faults and twins in gallium phosphide layers grown on silicon AU - Narayanan, V AU - Mahajan, S AU - Bachmann, KJ AU - Woods, V AU - Dietz, N T2 - PHILOSOPHICAL MAGAZINE A-PHYSICS OF CONDENSED MATTER STRUCTURE DEFECTS AND MECHANICAL PROPERTIES AB - Abstract The coalescence of GaP islands, grown on Si(001), Si(111), Si(110) and Si(113) surfaces by chemical beam epitaxy, has been investigated by high-resolution transmission electron microscopy. Stacking faults and first-order twins are observed within islands before coalescence and result from stacking errors during growth on the smaller P-terminated {111} facets of GaP islands. Upon island coalescence, complex moire fringes are observed contiguous to highly faulted {111} planes within epitaxial layers grown on all four Si substrate orientations and are attributed to multiple twinning. Second-and third-order twins are also observed within (111) and (110) layers and their formation is attributed to successive twinning on differently inclined {111} facets. Amongst the four orientations, coalesced growths on the Si(111) surface are the most defective and this may be caused by a higher density of P-terminated {111} facets on islands grown on the Si(111) surface. DA - 2002/3// PY - 2002/3// DO - 10.1080/01418610110082034 VL - 82 IS - 4 SP - 685-698 SN - 0141-8610 ER - TY - JOUR TI - Reorganization of the structures, morphologies, and conformations of bulk polymers via coalescence from polymer-cyclodextrin inclusion compounds AU - Bullions, TA AU - Wei, M AU - Porbeni, FE AU - Gerber, MJ AU - Peet, J AU - Balik, M AU - White, JL AU - Tonelli, AE T2 - JOURNAL OF POLYMER SCIENCE PART B-POLYMER PHYSICS AB - Abstract Bulk poly(ethylene terephthalate) (PET) and bisphenol A polycarbonate (PC) samples have been produced by the coalescence of their segregated, extended chains from the narrow channels of the crystalline inclusion compounds (ICs) formed between the γ‐cyclodextrin (CD) host and PET and PC guests, which are reported for the first time. Differential scanning calorimetry, Fourier transform infrared, and X‐ray observations of PET and PC samples coalesced from their crystalline γ‐CD‐ICs suggest structures and morphologies that are different from those of samples obtained by ordinary solution and melt processing techniques. For example, as‐received PC is generally amorphous with a glass‐transition temperature ( T g ) of about 150 °C; when cast from tetrahydrofuran solutions, PC is semicrystalline with a melting temperature ( T m ) of about 230 °C; and after PC/γ‐CD‐IC is washed with hot water for the removal of the host γ‐CD and for the coalescence of the guest PC chains, it is semicrystalline but has an elevated T m value of about 245 °C. PC crystals formed upon the coalescence of highly extended and segregated PC chains from the narrow channels in the γ‐CD host lattice are possibly more chain‐extended and certainly more stable than chain‐folded PC crystals grown from solution. Melting the PC crystals formed by coalescence from PC/γ‐CD‐IC produces a normal amorphous PC melt that, upon cooling, results in typical glassy PC. PET coalesced from its γ‐CD‐IC crystals, although also semicrystalline, displays a T m value only marginally elevated from that of typical bulk or solution‐crystallized PET samples. However, after the melting of γ‐CD‐IC‐coalesced PET crystals, it is difficult to quench the resultant PET melt into the usual amorphous PET glass, characterized by a T g value of about 80 °C. Instead, the coalesced PET melt rapidly recrystallizes during the attempted quench, and so upon reheating, it displays neither a T g nor a crystallization exotherm but simply remelts at the as‐coalesced T m . This behavior is unaffected by the coalesced PET sample being held above T m for 2 h, indicating that the extended, unentangled nature of the chains in the noncrystalline regions of the coalesced PET are not easily converted into the completely disordered, randomly coiled, entangled melt. Apparently, the highly extended, unentangled characters of the PC and PET chains in their γ‐CD‐ICs are at least partially retained after they are coalesced. Initial differential scanning calorimetry, thermogravimetric analysis, Fourier transform infrared, and X‐ray observations are described here. © 2002 Wiley Periodicals, Inc. J Polym Sci Part B: Polym Phys 40: 992–1012, 2002 DA - 2002/5/15/ PY - 2002/5/15/ DO - 10.1002/polb.10152 VL - 40 IS - 10 SP - 992-1012 SN - 1099-0488 KW - poly(ethylene terephthalate) KW - polycarbonate KW - host-guest systems KW - inclusion chemistry KW - solid state structure KW - conformational analysis ER - TY - JOUR TI - Molecular motions in the supramolecular complexes between poly(epsilon-caprolactone)-poly(ethylene oxide)-poly(epsilon-caprolactone) and alpha- and gamma-cyclodextrins AU - Lu, J AU - Mirau, PA AU - Shin, ID AU - Nojima, S AU - Tonelli, AE T2 - MACROMOLECULAR CHEMISTRY AND PHYSICS AB - The structure and molecular motions of the triblock copolymer PCL-PEO-PCL and its inclusion complexes with α- and γ-cyclodextrins (α- and γ-CDs) have been studied by solid-state NMR. Different cross-polarization dynamics have been observed for the guest polymer and host CDs. Guest–host magnetization exchange has been observed by proton spin lattice relaxation T1, proton spin lattice frame relaxation T1ρ and 2D heteronuclear correlation experiments. A homogeneous phase has been observed for these complexes. Conventional relaxation experiments and 2D wide-line separation NMR with windowless isotropic mixing have been used to measure the chain dynamics. The results show that for localized molecular motion in the megahertz regime, the included PCL block chains are much more mobile than the crystalline PCL blocks in the bulk triblock copolymer. However, the mobility of the included PEO block chains is not very different from the amorphous PEO blocks of the bulk sample. The cooperative, long chain motions in the mid-kilohertz regime for pairs of PCL-PEO-PCL chains in their γ-CD channels seem more restricted than for the single PCL-PEO-PCL chains in the α-CD channels, however, they are not influencing the more localized, higher frequency megahertz motions. DA - 2002/1/9/ PY - 2002/1/9/ DO - 10.1002/1521-3935(20020101)203:1<71::AID-MACP71>3.0.CO;2-D VL - 203 IS - 1 SP - 71-79 SN - 1022-1352 KW - block copolymers KW - inclusion chemistry KW - NMR KW - relaxation KW - solid-state structure ER - TY - JOUR TI - Microphase-separated block copolymers comprising low surface energy fluorinated blocks and hydrophilic blocks: Synthesis and characterization AU - Arnold, M. E. AU - Nagai, K. AU - Spontak, Richard AU - Freeman, B. D. AU - Leroux, D. AU - Betts, D. E. AU - Desimone, J. M. AU - Digiano, F. A. AU - Stebbins, C. K. AU - Linton, R. W. AU - al. T2 - MACROMOLECULES AB - The synthesis and characterization of diblock and triblock copolymers produced by a two-component iniferter system is reported. These materials, designed for possible water treatment applications, consist of a hydrophilic poly(2-dimethylaminoethyl methacrylate) (PDMAEMA) block and a very low surface energy poly(1,1‘-dihydroperfluorooctyl methacrylate) (PFOMA) or poly(1,1,2,2-tetrahydroperfluorooctyl acrylate) (PTAN) block. Angle-dependent X-ray spectroscopy results and water contact angle measurements indicate that the surfaces of PDMAEMA-b-PFOMA diblock copolymers consist primarily of PFOMA. Transmission electron microscopy reveals that the block copolymers are microphase-separated, exhibiting either cylindrical or layered morphologies that do not change appreciably upon exposure to water. Both water uptake and water flux increase with increasing PDMAEMA content. DA - 2002/4/23/ PY - 2002/4/23/ DO - 10.1021/ma0119631 VL - 35 IS - 9 SP - 3697-3707 SN - 0024-9297 ER - TY - JOUR TI - Elementary reaction schemes for physical and chemical vapor deposition of transition metal oxides on silicon for high-k gate dielectric applications AU - Niu, D AU - Ashcraft, RW AU - Kelly, MJ AU - Chambers, JJ AU - Klein, TM AU - Parsons, GN T2 - JOURNAL OF APPLIED PHYSICS AB - This article describes the kinetics of reactions that result in substrate consumption during formation of ultrathin transition metal oxides on silicon. Yttrium silicate films (∼40 Å) with an equivalent silicon dioxide thickness of ∼11 Å are demonstrated by physical vapor deposition (PVD) routes. Interface reactions that occur during deposition and during postdeposition treatment are observed and compared for PVD and chemical vapor deposition (CVD) yttrium oxides and CVD aluminum-oxide systems. Silicon diffusion, metal-silicon bond formation, and reactions involving hydroxides are proposed as critical processes in interface layer formation. For PVD of yttrium silicate, oxidation is thermally activated with an effective barrier of 0.3 eV, consistent with the oxidation of silicide being the rate-limited step. For CVD aluminum oxide, interface oxidation is consistent with a process limited by silicon diffusion into the deposited oxide layer. DA - 2002/5/1/ PY - 2002/5/1/ DO - 10.1063/1.1468253 VL - 91 IS - 9 SP - 6173-6180 SN - 0021-8979 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000175069000098&KeyUID=WOS:000175069000098 ER - TY - JOUR TI - Electron-beam-induced optical memory effects in GaN AU - Chang, YC AU - Cai, AL AU - Johnson, MAL AU - Muth, JF AU - Kolbas, RM AU - Reitmeier, ZJ AU - Einfeldt, S AU - Davis, RF T2 - APPLIED PHYSICS LETTERS AB - Metastable effects in unintentionally doped GaN films grown on SiC substrates have been investigated using cathodoluminescence (CL). Memory effect patterns produced optically are observed in CL images. An electron beam can also produce memory effect patterns and the resulting changes in the luminescence spectra are quite similar for either optical or electron-beam-induced patterns. CL spectra reveal that the yellow luminescence at 2.2 eV increases significantly with little change in the band-edge emission in both cases. Samples that do not exhibit optically induced memory effects are also investigated and do not exhibit electron-beam-induced patterns, either. Monochromatic CL images at 540 and 365 nm confirm the similarity of optically and electron-beam-induced memory effects based on changes in luminescence spectra. DA - 2002/4/15/ PY - 2002/4/15/ DO - 10.1063/1.1469222 VL - 80 IS - 15 SP - 2675-2677 SN - 0003-6951 ER - TY - JOUR TI - Effects of tensile, compressive, and zero strain on localized states in AlInGaN/InGaN quantum-well structures AU - Aumer, ME AU - LeBoeuf, SF AU - Moody, BF AU - Bedair, SM AU - Nam, K AU - Lin, JY AU - Jiang, HX T2 - APPLIED PHYSICS LETTERS AB - The recombination dynamics of optical transitions as well as strain effects in AlInGaN/In0.08Ga0.92N quantum wells (QWs) were studied. QW emission energy, photoluminescence decay behavior, photoluminescence emission line shape, and nonradiative recombination behavior were found to be strong functions of strain as well as localization. The degree of carrier localization was inferred by modeling several aspects of optical behavior obtained from variable temperature time-resolved photoluminescence experiments. According to the modeling results, the degree of localization was found to be a minimum for unstrained QWs and increased as either tensile or compressive strain increased, indicating that InGaN QW microstructure is a function of the lattice-mismatch-induced strain experienced during deposition. DA - 2002/4/29/ PY - 2002/4/29/ DO - 10.1063/1.1469219 VL - 80 IS - 17 SP - 3099-3101 SN - 0003-6951 ER - TY - JOUR TI - Crystallization in SiO2-metal oxide alloys AU - Maria, JP AU - Wickaksana, D AU - Parrette, J AU - Kingon, AI T2 - JOURNAL OF MATERIALS RESEARCH DA - 2002/7// PY - 2002/7// DO - 10.1557/JMR.2002.0234 VL - 17 IS - 7 SP - 1571-1579 SN - 0884-2914 ER - TY - JOUR TI - Comparison of secondary ion mass spectroscopy analysis of ultrashallow phosphorus using Cs+, O-2(+), and CsC6- primary ion beams AU - Loesing, R AU - Guryanov, GM AU - Phillips, MS AU - Griffis, DP T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - It is well known that reducing the work function of the sample surface using Cs+ ions increases the negative ion yield of phosphorus. It is also well known that a shallow primary beam implantation depth (RP) is required for achieving high depth resolution during the analysis of ultrashallow implant profiles. The combination of the opposite polarities of the positive Cs+ primary ion beam and the negatively biased sample (the combination most often used for P analysis using magnetic sector secondary ion mass spectroscopy) unfortunately accelerates the Cs+ ions towards the sample thus limiting the degree to which the primary ion impact energy can be reduced [R. Loesing, G. M. Guryanov, J. L. Hunter, and D. P. Griffis, J. Vac. Sci. Technol. B 18, 509 (2000)]. A low primary ion beam impact energy and high impact angle, both of which result in lower RP, can be obtained using a negatively charged cluster ion such as CsC6− (Peabody negative ion source) impacting on a negatively biased sample [G. Gillen, L. King, B. Freibaum, R. Lareau, and J. Bennett, in Secondary Ion Mass Spectrometry, SIMS XII, edited by A. Benninghoven et al. (Elsevier, Amsterdam, 2000), p. 279; R. Loesing, G. M. Guryanov, and D. P. Griffis, in Proceedings of the 13th Annual SIMS Workshop, Lake Tahoe, 2000, p. 36]. If, however, Cs is not required to improve secondary ion yield, a low energy O2+ primary beam impacting on a positively biased sample can be used [I. M. Abdelrehim, T. H. Büyüklimanli, S. P. Smith, and C. W. Magee, in Secondary Ion Mass Spectrometry SIMS XII, edited by A. Benninghoven (Elsevier, Amsterdam, 2000), p. 279; S. P. Smith, C. J. Hitzman, and C. W. Magee, in Secondary Ion Mass Spectrometry, SIMS XI, edited by G. Gillen (Wiley, Chichester, 1998), p. 277]. In this case, the reduction in sensitivity for P due to the loss of the negative ion yield enhancing Cs can be partly compensated by flooding the sample surface with oxygen. In this study Cs+, CsC6−, and O2+ primary ions are compared for depth profiling of ultrashallow phosphorus in Si in terms of decay length, sensitivity, and crater bottom roughness. DA - 2002/// PY - 2002/// DO - 10.1116/1.1450588 VL - 20 IS - 2 SP - 507-511 SN - 2166-2746 ER - TY - JOUR TI - Coercive fields in ferroelectrics: A case study in lithium niobate and lithium tantalate AU - Kim, S AU - Gopalan, V AU - Gruverman, A T2 - APPLIED PHYSICS LETTERS AB - The experimentally measured coercive electric fields for domain reversal in ferroelectrics are typically many orders of magnitude lower than the estimates from phenomenological free-energy theory. This letter specifically investigates the influence of polarization gradients at pre-existing 180° domain walls in ferroelectrics on coercive fields for domain wall motion. It is shown that the ratio of theoretical coercive field without and with a preexisting domain wall is directly proportional to the ratio xo/a, where a is the lattice parameter and 2xo is the polarization wall width. This factor is 7.5–45 for a 20–120 nm wall width, the latter width determined here as the experimental upper limit for polarization wall width in lithium tantalate. DA - 2002/4/15/ PY - 2002/4/15/ DO - 10.1063/1.1470247 VL - 80 IS - 15 SP - 2740-2742 SN - 0003-6951 ER - TY - JOUR TI - AC vs. DC bias-enhanced nucleation of highly oriented diamond on silicon (100) AU - Wolter, SD AU - Okuzumi, F AU - Prater, JT AU - Sitar, Z T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Bias-enhanced nucleation of highly oriented diamond on silicon (100) has been investigated in the context of bias type. The formation of aligned crystallites using conventional dc substrate biasing was facilitated by a preceding carburization. The optimum biasing conditions following a 1 h carburization involved a bias voltage of and a stringent bias duration of 3-4 min which produced total nucleation densities of and highly oriented diamond percentages of In comparison, the application of a 55-65 min ac substrate bias of resulted in a comparable percentage of oriented crystallites and similar crystallographic alignment. However, in this approach a precarburization was not found to be beneficial. This simplification in the processing of the oriented diamond was further aided by a broader bias duration window for producing the optimum combination of high total nucleation density and highly oriented diamond percentage. © 2001 The Electrochemical Society. All rights reserved. DA - 2002/2// PY - 2002/2// DO - 10.1149/1.1430720 VL - 149 IS - 2 SP - G114-G117 SN - 0013-4651 ER - TY - JOUR TI - Reliability degradation of ultra-thin oxynitride and Al2O3 gate dielectric films owing to heavy-ion irradiation AU - Choi, B. K. AU - Fleetwood, D. M. AU - Massengill, L. W. AU - Schrimpf, R. D. AU - Galloway, K. F. AU - Shaneyfelt, M. R. AU - Meisenheimer, T. L. AU - Dodd, P. E. AU - Schwank, J. R. AU - Lee, Y. M. AU - Johnson, R. S. AU - Lucovsky, G. T2 - Electronics Letters AB - The charge-to-breakdown of 3.3 nm oxynitride films shows significant degradation after irradiation with 342 MeV Au ions. In contrast, 5.4 nm Al2O3 films exhibit much less degradation for similar heavy-ion stress. DA - 2002/// PY - 2002/// DO - 10.1049/el:20020119 VL - 38 IS - 4 SP - 157-158 ER - TY - JOUR TI - Temperature-dependent property development in hydrogels derived from hydroxypropylcellulose AU - Hirsch, SG AU - Spontak, RJ T2 - POLYMER AB - Hydrogels constitute an important class of responsive materials that are employed in numerous biomedical and personal-care applications, most notably of which are controlled drug delivery, separations and superabsorbency. Since aqueous hydroxypropylcellulose (HPC) solutions exhibit lower critical solution behavior, hydrogels produced from this cellulose ether are temperature-responsive, swelling at low temperatures and contracting at high temperatures. If HPC hydrogels are synthesized at temperatures in the single-phase regime, they remain nonporous, whereas those crosslinked in the biphasic regime become microporous. In this work, we employ the modified temperature-induced phase separation (TIPS) protocol to generate nonporous and microporous HPC hydrogels crosslinked at different temperatures. The dynamic mechanical properties and swelling capacities of these hydrogels are reported as functions of crosslinking temperature. DA - 2002/1// PY - 2002/1// DO - 10.1016/S0032-3861(01)00608-5 VL - 43 IS - 1 SP - 123-129 SN - 1873-2291 KW - hydrogels KW - hydroxypropylcellulose KW - temperature-induced phase separation ER - TY - JOUR TI - Strain in cracked AlGaN layers AU - Einfeldt, S AU - Diesselberg, M AU - Heinke, H AU - Hommel, D AU - Rudloff, D AU - Christen, J AU - Davis, RF T2 - JOURNAL OF APPLIED PHYSICS AB - The strain relaxation due to cracks of different depths in AlGaN layers grown on GaN template layers has been investigated using spatially resolved cathodoluminescence spectroscopy, high-resolution x-ray diffraction and two-dimensional finite element simulations. The experimental data consistently show that the relief of tensile stress increases with decreasing crack spacing. The measured strain profiles between the cracks are well described by the theoretical calculations for small crack spacings; whereas, deviations for larger crack spacings have been found. The latter is discussed in terms of inelastic strain relaxation mechanisms, the reliability of the deformation potential for AlGaN employed in this article, and the spatial variations in the properties of the AlGaN, e.g., its composition. DA - 2002/7/1/ PY - 2002/7/1/ DO - 10.1063/1.1481969 VL - 92 IS - 1 SP - 118-123 SN - 0021-8979 ER - TY - JOUR TI - Role of adsorbates in field emission from nanotubes AU - Collazo, R AU - Schlesser, R AU - Sitar, Z T2 - DIAMOND AND RELATED MATERIALS AB - Two field-emission states of single-walled carbon nanotubes were identified according to their respective emission current levels. The state yielding increased emission current was attributed to the presence of adsorbates on the nanotubes as confirmed by electron emission measurements at different background pressures. Application of high electric fields induced large emission currents and a transition between the two states. During this transition, a current drop to 1/10 of the original value was observed. For the high current state, field-emitted electrons originated from states located below the Fermi level, as was determined by field-emission energy distribution measurements. This suggested that adsorbates introduced a resonant state on the surface that enhanced the tunneling probability of electrons. The adsorbed states are removed at high applied electric fields, presumably due to thermal effects caused by large emission currents. This adsorption/desorption process is completely reversible. DA - 2002/// PY - 2002/// DO - 10.1016/S0925-9635(01)00585-4 VL - 11 IS - 3-6 SP - 769-773 SN - 0925-9635 KW - field emission KW - nanotubes KW - adsorbates KW - energy distribution ER - TY - JOUR TI - Metastable alloys at moderate cooling rates AU - Stadelmaier, H. H. AU - Petzow, G. T2 - Zeitschrift fur MetallkundeAmerican Journal of Physiology DA - 2002/// PY - 2002/// VL - 93 IS - 10 SP - 1019-1023 ER - TY - JOUR TI - Mechanisms for grain size hardening and softening in Zn AU - Conrad, H AU - Narayan, J T2 - ACTA MATERIALIA AB - An analysis of the rate-controlling mechanisms corresponding to effect of grain size d=10−9 to 10−3 m on the flow stress of Zn at 300K and ϵ̇=10-4-10-3 s−1 was performed. Three grain size regimes were indicated: Regime I, d≈10−6–10−3 m, Regime II, d≈10−6–10−8 m and Regime III, d<10−8 m. Grain size hardening occurred in Regimes I and II and grain size softening in Regime III. The intersection of pyramidal forest dislocations by basal dislocations was concluded to be the rate-controlling mechanism in both Regimes I and II, the major effect of the grain size being on the forest and gliding dislocation densities. The absence of twinning and a dislocation cell structure distinguished Regime II from I. The grain size softening observed in Regime III is in better accord with grain boundary shear than with grain boundary diffusion creep. DA - 2002/12/3/ PY - 2002/12/3/ DO - 10.1016/S1359-6454(02)00357-9 VL - 50 IS - 20 SP - 5067-5078 SN - 1873-2453 KW - grain size hardening KW - grain size softening KW - rate-controlling mechanism KW - intersection of dislocations KW - grain boundary shear ER - TY - JOUR TI - Low-temperature Ar/N-2 remote plasma nitridation of SiO2 thin films AU - Khandelwal, A AU - Niimi, H AU - Lucovsky, G AU - Lamb, HH T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS AB - Low-temperature nitridation of SiO2 thin films by Ar/N2 remote plasma processing was investigated using on-line Auger electron spectroscopy, angle-resolved x-ray photoelectron spectroscopy (ARXPS), and optical emission spectroscopy (OES). Nitridation experiments were performed at 300 °C using 30 W Ar/N2 remote plasmas at 0.1 and 0.3 Torr. Ar/N2 remote plasma exposure of 5 nm SiO2 films for 30 min results in nitrogen incorporation throughout the films, independent of process pressure and plasma reactor configuration (i.e., upstream versus downstream N2 injection). ARXPS indicates a N–Si3 local bonding configuration with second nearest neighbor oxygen atoms. Ar/N2 remote plasma exposure at 0.1 Torr results in higher nitrogen concentrations (8–10 at. %). Reactor configuration has a negligible effect at 0.1 Torr; conversely, downstream N2 injection results in higher nitrogen concentrations (5–6 at. %) than upstream injection (3–4 at. %) at 0.3 Torr. OES indicates that the Ar/N2 remote plasmas contain N2 triplet excited states and ground-state N atoms. The Ar emission intensities and the saturation N concentrations in the resultant films follow similar trends with processing pressure and reactor configuration; the N2 first positive emission intensities run counter to these trends. We infer that low-temperature SiO2 nitridation by Ar/N2 remote plasmas is a two-step process: O removal by Ar+ ion bombardment and N insertion by plasma-generated active N species. Moreover, the first step appears to be rate limiting under the conditions employed in this study. Annealing the oxynitride films in N2 at 900 °C decreases the N concentration and results in a more uniform nitrogen distribution. DA - 2002/// PY - 2002/// DO - 10.1116/1.1513635 VL - 20 IS - 6 SP - 1989-1996 SN - 0734-2101 ER - TY - JOUR TI - Integrated AlN/diamond heat spreaders for silicon device processing AU - Yoganand, SN AU - Jagannadham, K AU - Karoui, A AU - Wang, H T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS AB - Growth and characterization of AlN and diamond films on the backside of a Si (100) wafer and the integration of AlN/diamond heat spreaders into silicon device technology is investigated. AlN film was deposited by pulsed dc reactive magnetron sputtering at 600 °C and diamond film was deposited by microwave plasma chemical vapor deposition at 900 °C. The films were characterized by x-ray diffraction and transmission electron microscopy for crystalline quality, by scanning electron microscopy for morphology, and by infrared thermography for heat spreading characteristics. The heat spreading characteristics of the silicon wafer with the composite AlN/diamond films were found to be superior to that of wafers with no heat spreaders or to the wafers with either single layer diamond or single layer AlN heat spreaders. Deep level transient spectroscopy and secondary ion mass spectroscopy were performed on the samples with and without the heat spreader to determine the concentration of the impurities that may have been introduced during deposition of AlN or diamond. The results showed that the purity of the wafers is not altered due to the deposition of AlN and diamond and subsequent device processing steps such as high temperature oxidation. The device characteristics were studied by fabrication of Schottky diodes on the wafers with the composite AlN/diamond heat spreader and compared with that of devices on wafers with no heat spreader. The device characteristics were found to be similar and unaffected by integration with an AlN/diamond heat spreader. Integration of AlN/diamond heat spreaders with silicon device processing has been shown to be successful. DA - 2002/// PY - 2002/// DO - 10.1116/1.1513643 VL - 20 IS - 6 SP - 1974-1982 SN - 0734-2101 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0036863138&partnerID=MN8TOARS ER - TY - JOUR TI - Carbon nanostructures AU - Shenderova, OA AU - Zhirnov, , VV AU - Brenner, DW T2 - CRITICAL REVIEWS IN SOLID STATE AND MATERIALS SCIENCES AB - ABSTRACT An overview of the various carbon structures with characteristic sizes in the nanoscale region is presented, with special attention devoted to the structures and properties of ‘nanodiamond’ and carbon nanotubes. The term ‘nanodiamond’ is used broadly for a variety of diamond-based materials at the nanoscale ranging from single diamond clusters to bulk nanocrystalline films. Only selected properties of carbon nanotubes are discussed, with an aim to summarize the most recent discoveries. Current and potential applications of carbon nanostructures are critically analyzed. DA - 2002/// PY - 2002/// DO - 10.1080/10408430208500497 VL - 27 IS - 3-4 SP - 227-356 SN - 1547-6561 ER - TY - JOUR TI - Water absorption and interface reactivity of yttrium oxide gate dielectrics on silicon AU - Niu, D AU - Ashcraft, RW AU - Parsons, GN T2 - APPLIED PHYSICS LETTERS AB - High dielectric constant insulators deposited at low temperatures rapidly absorb water during exposure to the atmosphere, and the resulting OH leads to detrimental interface reactions. We report the effect of atmospheric exposure on ultrathin yttrium oxide, and details of silicon substrate reactions during postdeposition anneals. Infrared absorption analysis indicates significant absorption of water vapor during atmospheric exposure, even for very short times (&lt;15 min). X-ray photoelectron spectroscopy demonstrates that after OH absorption, a thermally activated interface reaction proceeds with an activation energy of 0.33 eV, consistent with substrate reaction with OH present in the film. The OH absorption rate is reduced for annealed films or when capping layers are deposited in situ. Similar oxidation processes are expected to occur in other high-k materials of interest, where the rate of OH absorption will depend on the deposition process and material thermal history. DA - 2002/5/13/ PY - 2002/5/13/ DO - 10.1063/1.1477268 VL - 80 IS - 19 SP - 3575-3577 SN - 0003-6951 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000175464100037&KeyUID=WOS:000175464100037 ER - TY - JOUR TI - Single electron tunneling of nanoscale TiSi2 islands on Si AU - Oh, J AU - Meunier, V AU - Ham, H AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - Nanoscale TiSi2 islands are formed by electron beam deposition of a few monolayers of titanium on an atomically clean silicon surface followed by in situ annealing at high temperatures (800–1000 °C). The lateral diameter of typical islands are ∼5 nm, and they form a nanoscale metal–semiconductor interface. Direct probing of the electrical characteristics of these islands on both p- and n-type Si substrates was performed using ultrahigh vacuum scanning tunneling microscopy and scanning tunneling spectroscopy. With the vacuum between the tip and the island as a second tunnel junction, we thus form a double-junction system for observation of single electron tunneling (SET) effects. Moreover, the small dimensions of the system allow room temperature observation. The results showed features in the I–V spectra attributed to single electron tunneling. Features were more evident when the island–Si junction was in reverse bias. For substrates with a thin epitaxial layer of intrinsic Si, the tunneling related features were enhanced for both doping types. The experimental results are compared with the standard theory and numerical values from the fitting are in agreement with the experimental structures. The results indicate that the nanoscale Schottky barrier of the island–substrate interface can be employed as a tunnel barrier in SET structures. DA - 2002/9/15/ PY - 2002/9/15/ DO - 10.1063/1.1499531 VL - 92 IS - 6 SP - 3332-3337 SN - 0021-8979 ER - TY - JOUR TI - Reaction pathways in remote plasma nitridation of ultrathin SiO2 films AU - Niimi, H AU - Khandelwal, A AU - Lamb, HH AU - Lucovsky, G T2 - JOURNAL OF APPLIED PHYSICS AB - Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N–Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation. DA - 2002/1/1/ PY - 2002/1/1/ DO - 10.1063/1.1419208 VL - 91 IS - 1 SP - 48-55 SN - 1089-7550 ER - TY - JOUR TI - Pseudodielectric function of ZnGeP2 from 1.5 to 6 eV AU - Blickle, V AU - Flock, K AU - Dietz, N AU - Aspnes, DE T2 - APPLIED PHYSICS LETTERS AB - We report pseudodielectric function data 〈ε〉=〈εa1〉+i〈εa2〉 and 〈ε〉=〈εc1〉+i〈εc2〉 for the optically uniaxial material ZnGeP2, critical point energies of structures in these data, and dielectric function data for the natural oxide. Annealing reduces the values of the peaks of 〈εa2〉. DA - 2002/7/22/ PY - 2002/7/22/ DO - 10.1063/1.1492022 VL - 81 IS - 4 SP - 628-630 SN - 0003-6951 ER - TY - JOUR TI - Plastic deformation kinetics of fine-grained MgO in tension AU - Yang, D AU - Conrad, H T2 - JOURNAL OF MATERIALS SCIENCE DA - 2002/2/1/ PY - 2002/2/1/ DO - 10.1023/A:1013734011298 VL - 37 IS - 3 SP - 615-620 SN - 0022-2461 ER - TY - JOUR TI - Piezoresponse force microscopy for polarity imaging of GaN AU - Rodriguez, BJ AU - Gruverman, A AU - Kingon, AI AU - Nemanich, RJ AU - Ambacher, O T2 - APPLIED PHYSICS LETTERS AB - The polarity distribution of GaN based lateral polarity heterostructures is investigated by piezoresponse force microscopy (PFM). Simultaneous imaging of surface morphology, as well as the phase and magnitude of the piezoelectric response, is performed by PFM on a GaN film with patterned polarities on a c-Al2O3 substrate. We demonstrate that the polarity distribution of GaN based lateral polarity heterostructures can be deduced from the phase image of the piezoresponse with nanometer scale spatial resolution. DA - 2002/6/3/ PY - 2002/6/3/ DO - 10.1063/1.1483117 VL - 80 IS - 22 SP - 4166-4168 SN - 1077-3118 ER - TY - JOUR TI - Multilayer TiC/TiN diffusion barrier films for copper AU - Yoganand, SN AU - Raghuveer, MS AU - Jagannadham, K AU - Wu, L AU - Karoui, A AU - Rozgonyi, G T2 - APPLIED PHYSICS LETTERS AB - TiC/TiN thin films deposited by reactive magnetron sputtering on Si (100) substrates were investigated by transmission electron microscopy for microstructure and by deep level transient spectroscopy (DLTS) for diffusion barrier against copper. TiN thin films deposited on Si substrates at a substrate temperature of 600 °C were textured, and TiC thin films deposited at the same temperature were polycrystalline. TiC/TiN multilayer films also showed the same characteristics with the formation of an additional interaction layer. The diffusion barrier characteristics of the TiC/TiN/Si were determined by DLTS and the results showed that the films completely prevented diffusion of copper into Si. DA - 2002/1/7/ PY - 2002/1/7/ DO - 10.1063/1.1430027 VL - 80 IS - 1 SP - 79-81 SN - 1077-3118 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-79955988332&partnerID=MN8TOARS ER - TY - JOUR TI - Low temperature electrical transport in La1-xNdxNiO3-delta AU - Tiwari, A. AU - Rajeev, K. P. AU - Narayan, Jagdish T2 - Solid State Communications AB - We have investigated low temperature electrical transport in La1−xNdxNiO3−δ perovskite oxide samples. Samples were prepared by a sol–gel method and were characterized by X-ray diffraction and chemical methods. High precision electrical resistivity, magnetoresistance (MR) and electron tunneling conductance measurements were performed. Crystal structure investigations showed a phase transition from rhombohedral to orthorhombic phase at x=0.4. In the orthorhombic phase Ni–O–Ni bond angle was found to depend very sensitively on the value of x; as the value of x increases Ni–O–Ni bond angle decreases resulting in the tilting of NiO6 octahedra. A Correlation between the Ni–O–Ni bond angle and electrical transport has been observed. The analysis of the electrical resistivity data showed the presence of disorder driven quantum correction effects, namely e–e interactions and weak localization, in the system. A dip in the tunneling density of states and negative MR also suggest the presence of e–e interaction and weak localization effects in the system. DA - 2002/// PY - 2002/// DO - 10.1016/s0038-1098(02)00007-8 VL - 121 IS - 6-7 SP - 357–361 ER - TY - JOUR TI - Issues in high-kappa gate stack interfaces AU - Misra, V AU - Lucovsky, G AU - Parsons, GN T2 - MRS BULLETIN DA - 2002/3// PY - 2002/3// DO - 10.1557/mrs2002.73 VL - 27 IS - 3 SP - 212-216 SN - 1938-1425 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000174442100022&KeyUID=WOS:000174442100022 KW - gate stacks KW - high-dielectric-constant materials KW - high-kappa dielectrics KW - interface reactions KW - metal gates ER - TY - JOUR TI - Extending the road beyond CMOS AU - Hutchby, JA AU - Bourianoff, GI AU - Zhirnov, , VV AU - Brewer, JE T2 - IEEE CIRCUITS & DEVICES AB - The accelerating pace of CMOS scaling is rapidly approaching the fundamental limits of MOSFET performance, even as the projected size of a high-performance and manufacturable MOSFET technology is currently being extended with growing confidence to the 22-nm node (featuring a 9-nm physical gate length). The new 2001 International Technology Roadmap for Semiconductors currently projects the industry to reach this node in 2016. However, this forecast assumes the traditional industry node-cycle cadence of a quadrupling of the number of transistors every three years for DRAMS and a return to the three-year cycle in 2004 for MPUs and ASICs. During the past several years the node cycles for MPUs have been accelerated to occur within two-year periods. This pace will bring the microelectronics industry to the end of silicon CMOS technology scaling sometime not later than 2016, and maybe as soon as 2010. The new Emerging Technologies section of the 2001 ITRS offers guidance on both sides of this problem: nanoelectronics for memory, logic, and information-processing architectures could possibly extend the time frame of the ITRS beyond CMOS. DA - 2002/3// PY - 2002/3// DO - 10.1109/101.994856 VL - 18 IS - 2 SP - 28-41 SN - 8755-3996 ER - TY - JOUR TI - Electronic states at the interface of Ti-Si oxide on Si(100) AU - Fulton, CC AU - Lucovsky, G AU - Nemanich, RJ T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - The requirement for high K dielectrics for Si devices includes both a low interface state density and a band alignment that blocks both electrons and holes. Titanium dioxide materials are known to exhibit dielectric constants of 80 or higher depending on the crystal structure and, as such, are prime candidates for gate dielectrics. We employ an ultrathin layer of SiO2 prior to the formation of a Ti oxide to limit the density of defect states. The electronic structure is observed during the stepwise growth of the oxide using x-ray and ultraviolet photoemission spectroscopy. Measurements indicate Ti oxide states at approximately 2 eV below the Si valence band maximum suggesting that the TiO2 conduction band aligns with the Si conduction band. The results indicate nearly flat bands in the silicon consistent with a low interface state density. DA - 2002/// PY - 2002/// DO - 10.1116/1.1493785 VL - 20 IS - 4 SP - 1726-1731 SN - 1071-1023 ER - TY - JOUR TI - Electrodeposition of tin needle-like structures AU - Rinne, CL AU - Hren, JJ AU - Fedkiw, PS T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Electrodeposition is reported of arrays of needle-like Sn structures with tip radii ∼7 nm that can be used as electron emitters for field electron emission applications. It is well documented in the literature that in the absence of additive agents, a variety of morphological structures such as fractal, dendritic, and densely branched deposits may result from electrodeposition. It is also known that in the absence of additives, Sn dendrites can be formed. In the present research, it is shown that by controlling the electrode potential and concentration of two additive agents, a nonionic ethoxylated surfactant (Triton X-100) and a Pb(II) salt, an array of needle-like Sn structures is deposited from a Sn(II) salt in methane sulfonic acid. Although these structures may be used as electron emitters for field emission, the low melting point of Sn precludes its use in practical devices. Nevertheless, the unique preparation method that we describe may be used to investigate electrodeposited field emitter tips from higher melting metals (e.g., Ni, Pd, Ag, Au, and Cu). © 2002 The Electrochemical Society. All rights reserved. DA - 2002/3// PY - 2002/3// DO - 10.1149/1.1445172 VL - 149 IS - 3 SP - C150-C158 SN - 0013-4651 ER - TY - JOUR TI - Anomalous field emission from Al2O3 coated Si tips AU - Zhirnov, , VV AU - Alimova, AN AU - Hren, JJ T2 - APPLIED SURFACE SCIENCE AB - Abstract The emission properties of Al 2 O 3 coated Si field emitters were found to be radically different from any others reported to date. Silicon field emitter arrays were coated with ultrafine aluminum oxide powders by dielectrophoresis. The emission threshold, corresponding to a current of 10 pA, was 0.25 V/mm. The current–voltage ( I – V ) plots of the Al 2 O 3 coated Si field emitter arrays were steep, with a distinct deviation from Fowler–Nordheim (F–N) behavior. The emission showed a slow but distinct increase or decrease of current with time depending on the magnitude of the current. This behavior could be approximated with an exponential function. We suggest a tentative explanation in terms of “field emission induced secondary electron emission”, i.e. the generation of a secondary electron cascade by the interaction of the field emitted electrons with crystallites comprising the porous aluminum oxide coating. DA - 2002/5/17/ PY - 2002/5/17/ DO - 10.1016/S0169-4332(02)00131-9 VL - 191 IS - 1-4 SP - 20-25 SN - 0169-4332 KW - field emission KW - secondary emission KW - aluminum oxide ER - TY - JOUR TI - Antiphase boundaries in GaP layers grown on (001) Si by chemical beam epitaxy AU - Narayanan, V AU - Mahajan, S AU - Bachmann, KJ AU - Woods, V AU - Dietz, N T2 - ACTA MATERIALIA AB - We have investigated the origin of contrast features observed in coalesced GaP islands, deposited by chemical beam epitaxy on (001) Si, by high resolution transmission electron microscopy and conventional dark field electron microscopy. Our results indicate that these features are antiphase boundaries (APBs) lying on {110} planes. Image simulations have been performed to show that APBs can only be seen under specific defocus conditions in high resolution lattice images. The observed contrast is attributed to the presence of Ga–Ga and P–P wrong bonds at APBs. A model is proposed to show that the coalescence of GaP islands on the same Si terrace may not produce APBs, and the formation of such boundaries may require the presence of monoatomic steps, separating the coalescing islands. DA - 2002/4/2/ PY - 2002/4/2/ DO - 10.1016/S1359-6454(01)00408-6 VL - 50 IS - 6 SP - 1275-1287 SN - 1359-6454 KW - transmission electron microscopy (TEM) KW - epitaxy KW - GaP KW - islands KW - antiphase domains ER -