TY - CONF TI - Magnetic and structural properties of iron nitride and iron carbide ferromagnetic nanoparticles made by laser pyrolysis AU - Grimes, CA AU - Qian, D AU - Allen, JL AU - Stoyanov, PG AU - Dickey, EC AU - Eklund, PC C2 - 1999/12/1/ C3 - Digests of the Intermag Conference DA - 1999/12/1/ ER - TY - JOUR TI - On the growth of polycrystalline diamond on transition metals by microwave-plasma-assisted chemical vapour deposition AU - Mallika, K. AU - Ramamohan, T.R. AU - Jagannadham, K. AU - Komanduri, R. T2 - Philosophical Magazine B: Physics of Condensed Matter; Statistical Mechanics, Electronic, Optical and Magnetic Properties AB - Abstract The role of transition-metal substrates on the deposition of polycrystalline diamond was investigated using a microwave—plasma-assisted chemical vapour deposition process. Diamond deposition was carried out on the transition elements of the first series (3d block) and on the elements belonging to groups VB and VIB. It was found that the chemical nature of the transition metals plays an important role in the formation of diamond. Similarity in morphological features was observed on the diamond films grown on the substrates belonging to the same group. Micro-Raman (μ-Raman) spectroscopy indicated that diamond films on substrates belonging to groups VB and VIB have lower internal stresses than those deposited on group VIII. An attempt was made to relate the trends observed from the μ-Raman spectroscopy to the chemical properties of the transition elements. The mechanism of diamond growth seem to vary across the period. Elements belonging to the first half of the transition series, namely Ti, V. Nb, Ta, Mo and W, form stable carbides. These elements appear to form diamond by a gas—solid-phase reaction, while transition metals, such as Ni and Co appear to nucleate diamond by precipitation from the molten liquid. It may be noted that Ni and Co do not form stable carbides. This tendency appears to be related to the 3d shell structure of these elements. A mechanism based on the electronic structure of the substrate atoms, particularly on their 3d shell structure, is proposed to account for the above behaviour. DA - 1999/// PY - 1999/// DO - 10.1080/13642819908205738 VL - 79 IS - 4 SP - 593-624 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0032664013&partnerID=MN8TOARS ER - TY - JOUR TI - Model of interfacial thermal resistance of diamond composites AU - Jagannadham, K. T2 - Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films AB - The effective thermal conductivity of diamond and aluminum nitride layered composites was analyzed for three different types of interfaces. A sharp interface with a stepwise discontinuity, a graded interface with a linear variation and a diffused interface with a minimum or a maximum in thermal conductivity across the interface were considered. The results of the modeling analysis were used to explain the experimental results described in the literature of improved heat spreader characteristics of diamond and aluminum nitride composites. DA - 1999/// PY - 1999/// DO - 10.1116/1.581597 VL - 17 IS - 2 SP - 373-379 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033465465&partnerID=MN8TOARS ER - TY - JOUR TI - Thermal oxidation kinetics of MoSi2-based powders AU - ZHU, YT AU - STAN, M AU - CONZONE, SD AU - al., T2 - JOURNAL OF THE AMERICAN CERAMIC SOCIETY DA - 1999/// PY - 1999/// VL - 82 IS - 10 SP - 2785-2790 ER - TY - JOUR TI - Synthesis and crystal chemistry of the new compounds GdBa4Cu3O8.5+delta and DyBa4Cu3O8.5+delta AU - ZHU, YT AU - PETERSON, EJ AU - BALDONADO, PS AU - al., T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/// PY - 1999/// VL - 14 IS - 2 SP - 334-339 ER - TY - JOUR TI - Oxidation kinetics of hexagonal-shaped single-crystal silicon whiskers AU - JIANG, HG AU - ZHU, YT AU - BUTT, DP T2 - JOURNAL OF THE AMERICAN CERAMIC SOCIETY DA - 1999/// PY - 1999/// VL - 82 IS - 10 SP - 2791-2795 ER - TY - JOUR TI - Mechanical properties of bone-shaped-short-fiber reinforced composites AU - ZHU, YT AU - VALDEZ, JA AU - BEYERLEIN, IJ AU - al., T2 - ACTA MATERIALIA DA - 1999/// PY - 1999/// VL - 47 IS - 6 SP - 1767-1781 ER - TY - JOUR TI - Formation of metastable states in nanostructured Al- and Ti -based alloys by the SPTS technique AU - STOLYAROV, , VV AU - SHESTAKOVA, LO AU - ZHU, YT AU - al., T2 - NANOSTRUCTURED MATERIALS DA - 1999/// PY - 1999/// VL - 12 IS - 5-8 SP - 923-926 ER - TY - JOUR TI - Compressive anneal processing (CAP) of Bi2223 superconducting tapes AU - ZHU, YT AU - BALDONADO, PS AU - BINGERT, JF AU - al., T2 - SUPERCONDUCTOR SCIENCE & TECHNOLOGY DA - 1999/// PY - 1999/// VL - 12 IS - 9 SP - 640-644 ER - TY - JOUR TI - A two step SPD processing of ultrafine-grained titanium AU - STOLYAROV, , VV AU - ZHU, YT AU - LOWE, TC AU - al., T2 - NANOSTRUCTURED MATERIALS DA - 1999/// PY - 1999/// VL - 11 IS - 7 SP - 947-954 ER - TY - JOUR TI - Z-contrast imaging and electron energy-loss spectroscopy analysis of chromium-doped diamond-like carbon films AU - Fan, X AU - Dickey, EC AU - Pennycook, SJ AU - Sunkara, MK T2 - Applied Physics Letters AB - Views Icon Views Article contents Figures & tables Video Audio Supplementary Data Peer Review Share Icon Share Twitter Facebook Reddit LinkedIn Tools Icon Tools Reprints and Permissions Cite Icon Cite Search Site Citation X. Fan, E. C. Dickey, S. J. Pennycook, M. K. Sunkara; Z-contrast imaging and electron energy-loss spectroscopy analysis of chromium-doped diamond-like carbon films. Appl. Phys. Lett. 1 November 1999; 75 (18): 2740–2742. https://doi.org/10.1063/1.125134 Download citation file: Ris (Zotero) Reference Manager EasyBib Bookends Mendeley Papers EndNote RefWorks BibTex toolbar search Search Dropdown Menu toolbar search search input Search input auto suggest filter your search All ContentAIP Publishing PortfolioApplied Physics Letters Search Advanced Search |Citation Search DA - 1999/// PY - 1999/// DO - 10.1063/1.125134 VL - 75 IS - 18 SP - 2740-2742 UR - https://app.dimensions.ai/details/publication/pub.1057689256 ER - TY - JOUR TI - Structural and electrochemical characterisation of metal doped diamondlike carbon films AU - Koduri, P AU - Sunkara, MK AU - Dickey, EC AU - Frazier, C AU - Babu, SV T2 - Surface Engineering AB - The structural and electrochemical characteristics of metal doped diamondlike carbon films with conductivities higher that 5 Ω -1 cm -1 are investigated using TEM and electrochemical characterisation techniques. Cyclic voltammetry of hafnium doped diamondlike carbon films in acidic solutions shows that these films are electrochemically stable compared with pure hafnium metal surfaces. Highly doped Cr–DLC films show the presence of metal dopant in the form of nanoclusters while moderately doped films show randomly dispersed chromium metal dopant. In addition, these Cr–DLC films with nanoclusters of metal dopant show two orders of magnitude enhancement in electrochemical activity with gas evolution in acidic environments compared with the Cr–DLC films with randomly dispersed metal dopant. This difference is attributed to possible electrocatalytic activity of nanoclusters. DA - 1999/// PY - 1999/// DO - 10.1179/026708499101516713 VL - 15 IS - 5 SP - 373-376 UR - https://app.dimensions.ai/details/publication/pub.1002759178 ER - TY - JOUR TI - Residual stresses in high-temperature ceramic eutectics AU - Dickey, EC AU - Frazer, CS AU - Watkins, TR AU - Hubbard, CR T2 - Journal of the European Ceramic Society AB - This paper explores residual thermal stresses in directionally solidified ceramic eutectics, a class of materials that has much promise for high-temperature structural applications. Residual strain tensors of both phases in a eutectic composite are measured by single crystal X-ray diffraction techniques. In the analysis the material is treated as fully anisotropic and the strain tensors, subsequently converted to stress tensors, are measured. Results are presented for two oxide eutectics, NiO–cubic ZrO2 and YAG–Al2O3, the former having a large thermal expansion mismatch between the two phases and the latter having similar thermal expansion properties. It is discovered that large residual stresses (of the order of 1 GPa) can be present at room temperature in as-processed eutectic materials unless the thermal expansion behaviors of the constituent materials are very similar. Ultimately these measurements not only elucidate the stress state but, when compared to theory, give information about the degree of interfacial constraint between the two phases. DA - 1999/// PY - 1999/// DO - 10.1016/S0955-2219(99)00100-4 VL - 19 IS - 13-14 SP - 2503-2509 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000083005800033&KeyUID=WOS:000083005800033 KW - residual stresses KW - ZrO(2)-NiO KW - Al(2)O(3)-YAG KW - eutectics KW - X-ray methods ER - TY - JOUR TI - Oxidation behavior of platinum-aluminum alloys and the effect of Zr doping AU - Dickey, EC AU - Pint, BA AU - Alexander, KB AU - Wright, IG T2 - Journal of Materials Research DA - 1999/// PY - 1999/// DO - 10.1557/JMR.1999.0615 VL - 14 IS - 12 SP - 4531-4540 UR - https://app.dimensions.ai/details/publication/pub.1051040360 ER - TY - JOUR TI - Model of carbon nanotube growth through chemical vapor deposition AU - Sinnott, SB AU - Andrews, R AU - Qian, D AU - Rao, AM AU - Mao, Z AU - Dickey, EC AU - Derbyshire, F T2 - Chemical Physics Letters AB - This Letter outlines a model to account for the catalyzed growth of nanotubes by chemical vapor deposition. It proposes that their formation and growth is an extension of other known processes in which graphitic structures form over metal surfaces at moderate temperatures through the decomposition of organic precursors. Importantly, the model also states that the form of carbon produced depends on the physical dimensions of the catalyzed reactions. Experimental data are presented that correlate nanotube diameters to the size of the catalyst particles. Nanotube stability as a function of nanotube type, length and diameter are also investigated through theoretical calculations. DA - 1999/// PY - 1999/// DO - 10.1016/S0009-2614(99)01216-6 VL - 315 IS - 1-2 SP - 25-30 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000084321900005&KeyUID=WOS:000084321900005 ER - TY - JOUR TI - Direct atomic-scale imaging of ceramic interfaces AU - Dickey, EC AU - Fan, X AU - Pennycock, SJ T2 - Acta Materialia AB - Understanding the atomic structure and chemistry of internal interfaces is often critical to developing interface structure-property relationships. Results are presented from several studies in which Z-contrast scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS) have been employed to solve the atomic structures of oxide interfaces. The Z-contrast imaging technique directly reveals the projected cation sublattices constituting the interface, while EELS provides chemical and local electronic structure information. Because Z-contrast imaging and EELS can be performed simultaneously, direct correlations between structure and chemistry can be made at the atomic scale. The utility of Z-contrast imaging and EELS is demonstrated in three examples: A ZrO{sub 2} 24{degree} [100] symmetric tilt grain boundary, a NiO-cubic ZrO{sub 2} eutectic interface and a Ni-cubic ZrO{sub 2} metal-ceramic interface. The power and versatility of Z-contrast and EELS for solving interface structures in oxide systems is clearly demonstrated in these three material systems. DA - 1999/// PY - 1999/// DO - 10.1016/S1359-6454(99)00266-9 VL - 47 IS - 15-16 SP - 4061-4068 UR - https://app.dimensions.ai/details/publication/pub.1022872591 KW - ceramic KW - interface KW - scanning transmission electron microscopy (STEM) KW - electron energy loss spectroscopy (EELS) ER - TY - JOUR TI - Continuous production of aligned carbon nanotubes: a step closer to commercial realization AU - Andrews, R AU - Jacques, D AU - Rao, AM AU - Derbyshire, F AU - Qian, D AU - Fan, X AU - Dickey, EC AU - Chen, J T2 - Chemical Physics Letters AB - High-purity aligned multi-walled carbon nanotubes (MWNTs) were synthesized through the catalytic decomposition of a ferrocene–xylene mixture at ∼675°C in a quartz tube reactor and over quartz substrates, with a conversion of ∼25% of the total hydrocarbon feedstock. Under the experimental conditions used, scanning electron microscope images reveal that the MWNT array grows perpendicular to the quartz substrates at an average growth rate of ∼25 μm/h. A process of this nature which does not require preformed substrates, and which operates at atmospheric pressure and moderate temperatures, could be scaled up for continuous or semi-continuous production of MWNTs. DA - 1999/// PY - 1999/// DO - 10.1016/S0009-2614(99)00282-1 VL - 303 IS - 5-6 SP - 467-474 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000079955900003&KeyUID=WOS:000079955900003 ER - TY - JOUR TI - A combined experimental and theoretical approach to atomic structure and segregation at ceramic interfaces AU - Pennycook, SJ AU - Dickey, EC AU - Nellist, PD AU - Chisholm, MF AU - Yan, Y AU - Pantelides, ST T2 - Journal of the European Ceramic Society AB - Abstract In the last few years, the scanning transmission electron microscope has become capable of forming electron probes of atomic dimensions. This makes possible the technique of Z-contrast imaging, a method of forming direct images at atomic resolution with high compositional sensitivity. Atomic column positions can be determined to high accuracy from the image, and columns containing high-Z impurities will be visible. Atomic resolution electron energy loss spectroscopy is possible by locating the probe over particular atomic columns or planes seen in the image. This provides complementary information on low-Z species and chemical bonding. Such data represents an ideal starting point for first-principles theoretical calculations of energetics and dynamics, avoiding time-consuming searches of trial structures. Examples are shown of ordering in relaxor ferroelectrics, interfacial termination in oxide–oxide and metal–oxide interfaces, and an impurity-induced structural transformation of a ceramic grain boundary. DA - 1999/// PY - 1999/// DO - 10.1016/S0955-2219(99)00126-0 VL - 19 IS - 13-14 SP - 2211-2216 UR - https://app.dimensions.ai/details/publication/pub.1044231159 KW - interfaces KW - simulation KW - electron microscopy KW - Z-contrast imaging KW - grain boundaries ER - TY - JOUR TI - The effect of oxidation state and speciation on XANES spectra of plutonium. AU - VEIRS, DK AU - CONRADSON, SD AU - CLARK, DL AU - KEOGH, DW AU - NEU, MP AU - REILLY, SD AU - RUNDE, W AU - PALMER, PD AU - TRACY, JB T2 - ABSTRACTS OF PAPERS OF THE AMERICAN CHEMICAL SOCIETY DA - 1999/// PY - 1999/// VL - 217 SP - U59 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000079148200192&KeyUID=WOS:000079148200192 ER - TY - JOUR TI - Plasma enhanced chemical vapor deposited silicon nitride thin films deposited at very low temperatures for thin film transistors on plastic substrates" AU - Klein, T.M. AU - Anderson, T.M. AU - Chowdhury, A.I. AU - Parsons, G.N. T2 - Journal of Vacuum Science & Technology DA - 1999/// PY - 1999/// VL - A IS - 17 SP - 108-112 ER - TY - PAT TI - Enhanced field emission from microtip structures AU - Auciello, O. H. AU - Krauss, A. R. AU - McGuire, G. E. AU - Gruen, D. M. C2 - 1999/// DA - 1999/// PY - 1999/// ER - TY - PAT TI - Thin film optical measurement system and method with calibrating ellipsometer AU - Aspnes, D. E. AU - Opsal, J. AU - Faton, J. T. C2 - 1999/// DA - 1999/// PY - 1999/// ER - TY - PAT TI - Broadband spectroscopic rotating compensator ellipsometer AU - Aspnes, D. E. AU - Opsal, J. C2 - 1999/// DA - 1999/// PY - 1999/// ER - TY - JOUR TI - Ohmic contact to p-type GaAs using Cu3Ge AU - Aboelfotoh, MO AU - Borek, MA AU - Narayan, J T2 - APPLIED PHYSICS LETTERS AB - We have investigated ε1−Cu3Ge as an ohmic contact to p-type GaAs, and found that the ε1−Cu3Ge contact has a specific contact resistivity of 5×10−6 Ω cm2 on p-type GaAs with doping concentrations of ∼7×1018 cm−3. The ε1−Cu3Ge contact exhibits a planar and structurally abrupt interface with the GaAs, and no reaction between the contact metal and the GaAs is required for contact formation. The contact is electrically stable during annealing at temperatures up to 400 °C. It is suggested that Ge is incorporated into the GaAs as a p-type impurity resulting in a low contact resistivity. Furthermore, the addition of Ge to Cu to form ε1−Cu3Ge is found to impede the diffusion of Cu into the p-type GaAs. Along with the results reported for n-type GaAs, the present results indicate that ε1−Cu3Ge is an attractive candidate for ohmic contact formation on both n- and p-type GaAs. DA - 1999/12/20/ PY - 1999/12/20/ DO - 10.1063/1.125505 VL - 75 IS - 25 SP - 3953-3955 SN - 1077-3118 ER - TY - JOUR TI - Piezoelectric and excitonic effects on optical properties of pseudomorphically strained wurtzite GaN quantum well lasers AU - Jeon, J. B. AU - Sanders, G. D. AU - Kim, K. W. AU - Littlejohn, M. A. T2 - Compound semiconductors 1998 (Institute of Physics conference series; no. 162) CN - QC611.8.C64 I586 1998 DA - 1999/// PY - 1999/// IS - 162 SP - 37-42 ER - TY - CONF TI - Quantum confinement of above-band-bap transitions in Ge quantum dots embedded in an Al2O3 or AIN matrix AU - Teng, C. W. AU - Muth, J. F. AU - Kolbas, R. M. AU - Hassan, K. M. AU - Sharma, A. K. AU - Kvit, A. AU - Narayan, J. A2 - J. Piqueras, T. Sekiguchi A2 - Unlu, M. S. C2 - 1999/// C3 - Optical microstructural characterization of semiconductors (Materials Research Society proceedings, vol. 588) CN - QC610.9 .O67 2000 DA - 1999/// PB - Pittsburgh, Pa.: Materials Research Society ER - TY - JOUR TI - FEG-SEM imaging of semiconductor dopant contrast AU - Elliott S.L., Broom R.F. AU - Humphreys C.J., Thrush E.J. AU - Considine L., Thomson D.B. AU - W.B., De Boer T2 - Microscopy of semiconducting materials 1999: Proceedings of the Institute of Physics conference held at Oxford University, 22-25 March, 1999 DA - 1999/// PY - 1999/// VL - 164 IS - 1999 SP - 727-730 ER - TY - JOUR TI - Solvent-regulated ordering in block copolymers AU - Alexandridis, P AU - Spontak, RJ T2 - CURRENT OPINION IN COLLOID & INTERFACE SCIENCE AB - Studies of the structural polymorphism exhibited by block copolymers in the presence of selective solvents are relatively recent, but very promising in terms of fundamental understanding and practical applications. Highlighting recent advances, this review progresses from `dry' ordered copolymers to solvated ordered (gel-like) copolymers and lastly to solvated disordered (but locally organized) copolymers. Organic- and aqueous-solvent-based systems are concurrently examined to cross-fertilize polymer and colloid science. DA - 1999/4// PY - 1999/4// DO - 10.1016/S1359-0294(99)00022-9 VL - 4 IS - 2 SP - 130-139 SN - 1879-0399 ER - TY - JOUR TI - Advances in self-ordering macromolecules and nanostructure design AU - Spontak, RJ AU - Alexandridis, P T2 - CURRENT OPINION IN COLLOID & INTERFACE SCIENCE AB - Nanostructured macromolecules, such as block copolymers, elucidate the fundamental principles governing self-organization in soft condensed matter. Significant experimental and theoretical advances regarding complex morphology development in bulk copolymers and their homopolymer blends have recently been achieved. New equilibrium block copolymer morphologies have been reported for copolymers in the super-strong segregation regime, and the pathways by which bicontinuous morphologies in copolymers and copolymer blends form have been identified. Emerging research directions target chemically tailored copolymer systems as designer templates for uniform ceramic nanostructures and field-responsive devices with switchable molecular orientation. DA - 1999/4// PY - 1999/4// DO - 10.1016/S1359-0294(99)00023-0 VL - 4 IS - 2 SP - 140-146 SN - 1359-0294 ER - TY - JOUR TI - Stability of Si-O-F low-K dielectrics: attack by water molecules as function of near-neighbor Si-F bonding arrangements AU - Yang, H AU - Lucovsky, G T2 - JOURNAL OF NON-CRYSTALLINE SOLIDS AB - Abstract Ab initio configuration interaction calculations have been previously used to account for the relatively large decreases (∼20%) in the static dielectric constant of Si–O–F alloys with for F alloy atom concentrations of ∼10 at.%. This study addresses the stability of these alloy films with respect to attack of Si–F bonds by water molecules. The present calculations show that the reactions of isolated Si–F with water molecules differ from the reactions of nearest-neighbor Si–F groups with water molecules. For example, hydrogen attachment of water to two Si–F groups has a bonding energy of ∼0.5 eV, whereas attachment to an isolated Si–F group has an attachment energy smaller by more than a factor of 6. Combining these calculations for interactions with H 2 O with a statistical model of bonding in the alloy films, an upper limit for chemically stable F-corporation has been determined to be ∼11 at.% F, which corresponds to the static dielectric constant of ∼3.3. DA - 1999/9/1/ PY - 1999/9/1/ DO - 10.1016/S0022-3093(99)00387-7 VL - 254 SP - 128-133 SN - 0022-3093 ER - TY - JOUR TI - Reduction of the transition temperature of C54TiSi(2) through a Ta interlayer AU - Jung, B. AU - Kim, Y. D. AU - Jeon, H. AU - Yang, W. AU - Nemanich, R. J. T2 - Journal of the Korean Physical Society DA - 1999/// PY - 1999/// VL - 35 IS - 1999 Dec. SP - S769-773 ER - TY - JOUR TI - Raman scattering of tetrahedrally-bonded amorphous carbon deposited at oblique angles AU - Park, M AU - Camphausen, SM AU - Myers, AF AU - Barletta, PT AU - Sakhrani, V AU - Bergman, L AU - Nemanich, RJ AU - Cuomo, JJ T2 - MATERIALS LETTERS AB - Amorphous carbon (txa-C1−x) films were prepared by filtered cathodic arc deposition (FCAD). The films were deposited on p-type Si (111). The angle of beam incidence was varied from 0° to 75° with respect to the substrate normal. Micro-Raman spectroscopy, electron energy loss spectroscopy (EELS), and transmission electron microscopy (TEM) were carried out for sample analysis. It was found that the position of the G peak shifts to a higher wave number region as the angle of incidence increases. This means that the sp2/sp3 ratio increases with increasing angle. This conclusion is supported by EELS. The film deposited at an angle of 75° exhibits a columnar structure with alternating high and low carbon density regions. DA - 1999/12// PY - 1999/12// DO - 10.1016/S0167-577X(99)00135-4 VL - 41 IS - 5 SP - 229-233 SN - 1873-4979 KW - amorphous carbon KW - filtered arc depositors KW - Raman scattering KW - electron energy loss spectroscopy ER - TY - JOUR TI - Optical emission study of ablation plasma plume in the preparation of diamond-like carbon films by KrF excimer laser AU - Yamagata, Y AU - Sharma, A AU - Narayan, J AU - Mayo, RM AU - Newman, JW AU - Ebihara, K T2 - JOURNAL OF APPLIED PHYSICS AB - Optical emission study of the laser ablation plasma plume during the preparation of diamond-like carbon (DLC) films using KrF excimer (248 nm) pulsed laser deposition (PLD) has been carried out by means of a monochromator equipped with an intensified optical multichannel analyzer. In high vacuum (1×10−7 Torr), the emission lines from carbon ions of C+, C2+, and C3+ are observed in addition to atomic carbon emission lines, while no emission from the diatomic carbon molecule (C2) is observed. With increasing background nitrogen pressure up to 500 mTorr, the emission intensities of the C2 Swan band and the carbon nitride (CN) violet band increase. The diamond-like character of deposited DLC film degrades with background nitrogen pressure. The vibrational temperature of C2 and CN molecules decreases with the increasing of nitrogen pressure. The CN vibrational temperature for the first 2 μs after the laser pulse is very high and in agreement with the kinetic energy of monatomic carbon ions. The C2 vibrational temperature is as low as 0.6 eV and is consistent with the electron temperature of about 0.8–3.0 eV. It is conjectured that CN molecules are formed directly in reactions involving energetic ionic monatomic carbon, and that the formation of excited C2 molecules is the result of molecular recombinations of C atoms and ions. From the emission intensity measurements and the estimation of the vibrational temperature, it is suggested that the C2 molecule in the ablated plasma plume is not important, but energetic species, such as C+, are very important for producing high quality DLC films using PLD. DA - 1999/10/15/ PY - 1999/10/15/ DO - 10.1063/1.371340 VL - 86 IS - 8 SP - 4154-4159 SN - 0021-8979 ER - TY - JOUR TI - In-situ studies of point-defect complexes in silicon implanted with heavy MeV ions AU - Yarykin, N. AU - Cho, C. R. AU - Zuhr, R. AU - Rozgonyi, G. T2 - Physica. B, Condensed Matter DA - 1999/// PY - 1999/// VL - 274 IS - 1999 Dec. SP - 485-488 ER - TY - JOUR TI - In-situ photoexcitation-induced perturbations of defect complex concentration and distribution in silicon implanted with light and heavy ions AU - Yarykin, N. AU - Cho, C. R. AU - Zuhr, R. A. AU - Rozgonyi, G. A. T2 - Diffusion and Defect Data. [Pt. B], Solid State Phenomena DA - 1999/// PY - 1999/// VL - 70 IS - 1999 SP - 397-402 ER - TY - JOUR TI - Drift of interstitial iron in a space charge region of p-type Si Schottky diode AU - Koveshnikov, S. AU - Choi, B. AU - Yarykin, N. AU - Rozgonyi, G. T2 - Physica. B, Condensed Matter DA - 1999/// PY - 1999/// VL - 274 IS - 1999 Dec. SP - 395-397 ER - TY - JOUR TI - Charge redistribution at GaN-Ga2O3 interfaces: A microscopic mechanism for low defect density interfaces in remote plasma processed MOS devices prepared on polar GaN faces AU - Therrien, R AU - Lucovsky, G AU - Davis, RF T2 - PHYSICA STATUS SOLIDI A-APPLIED RESEARCH AB - Interfacial defect densities, typically two orders of magnitude lower than those usually obtained at [III–V]-dielectric interfaces, have been demonstrated for GaN capacitors and field effect transistors (FETs). Separate and independently controlled interface formation and film deposition by remote plasma-assisted processing steps performed at 300 °C were employed. The interfacial oxide is Ga2O3, and the deposited gate dielectric is SiO2. Models for the chemical bonding at the GaN–Ga2O3 interface and at the internal dielectric Ga2O3–SiO2 are presented. The most important aspect of the interface formation involves a redistribution of electrons in the surface atom dangling bonds of the GaN polar face that promotes formation of two-electron bonds with the interfacial dielectric. DA - 1999/11/16/ PY - 1999/11/16/ DO - 10.1002/(sici)1521-396x(199911)176:1<793::aid-pssa793>3.0.co;2-v VL - 176 IS - 1 SP - 793-796 SN - 0031-8965 ER - TY - JOUR TI - Atomistic simulations of structures and mechanical properties of polycrystalline diamond: Symmetrical < 001 > tilt grain boundaries AU - Shenderova, OA AU - Brenner, DW AU - Yang, LH T2 - PHYSICAL REVIEW B AB - Atomic structures and energies of symmetrical 〈001〉 tilt grain boundaries (GB's) in diamond have been calculated over a wide range of misorientation angle using a many-body analytic potential, and for some selected short-period grain boundaries with tight-binding and first-principles density-functional methods. The grain boundary energies from the tight-binding and first-principles methods are about 75% of those calculated with the analytic bond-order potential. The energy rankings of the GB's calculated with the empirical potential, however, are similar to that calculated from the tight-binding and the density functional approaches. Atomic-level energy and stress distributions calculated with the bond-order potential reveal relations between local interface reconstruction and the extent and value of hydrostatic and shear stresses. From the calculated local volume strain and hydrostatic stress fields, the atomic bulk moduli are evaluated, and zones of different elastic behavior in the vicinity of the interface are defined. DA - 1999/9/1/ PY - 1999/9/1/ DO - 10.1103/physrevb.60.7043 VL - 60 IS - 10 SP - 7043-7052 SN - 1550-235X ER - TY - JOUR TI - Atomistic simulations of structures and mechanical properties of < 011 > tilt grain boundaries and their triple junctions in diamond AU - Shenderova, OA AU - Brenner, DW T2 - PHYSICAL REVIEW B AB - Atomic structures, energies, and stress distributions of symmetrical 〈011〉 tilt grain boundaries (GB's) and selected GB triple junctions (TJ's) in diamond as well as a multiply twinned diamond particle have been calculated using an analytic bond order potential function. In general, energies of 〈011〉 tilt GB's are about 1 ${\mathrm{J}/\mathrm{m}}^{2}$ lower than those for 〈001〉 tilt GB's calculated with the same analytic potential. Energy ordering for two models of the $\ensuremath{\Sigma}=3(21\mathbf{\ifmmode\bar\else\textasciimacron\fi{}}1)$ GB obtained with the present bond-order potential is consistent with results from a tight-binding model. Atomic structures of selected triple junctions of 〈011〉 grain boundaries are modeled and atomic reconstructions within TJ cores that eliminate dangling bonds are suggested. Despite a perfect geometrical matching of structural units within the triple junction cores, excess energies and stresses exist in the vicinity of these structures. Characteristics of atomic stress distributions in multiply twinned particles agree with predictions of continuum disclination theory. DA - 1999/9/1/ PY - 1999/9/1/ DO - 10.1103/physrevb.60.7053 VL - 60 IS - 10 SP - 7053-7061 SN - 1550-235X ER - TY - JOUR TI - Structure of ultrathin SiO2/Si(111) interfaces studied by photoelectron spectroscopy AU - Keister, JW AU - Rowe, JE AU - Kolodziej, JJ AU - Niimi, H AU - Tao, HS AU - Madey, TE AU - Lucovsky, G T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A AB - Device-grade ultrathin (9–22 Å) films of silicon dioxide, prepared from crystalline silicon by remote-plasma oxidation, are studied by soft x-ray photoelectron spectroscopy (SXPS). The 2p core-level spectra for silicon show evidence of five distinct states of Si, attributable to the five oxidation states of silicon between Si0 (the Si substrate) and Si4+ (the thin SiO2 film). The relative binding energy shifts for peaks Si1+ through Si4+ (with respect to Si0) are in agreement with earlier work. The relatively weaker signals found for the three intermediate states (I1, I2, and I3) are attributed to silicon atoms at the abrupt interface between the thin SiO2 film and substrate. Estimates of the interface state density from these interface signals agree with the values reported earlier of ∼2 monolayers (ML). The position and intensity of the five peaks are measured as a function of post-growth annealing temperature, crystal orientation, and exposure to He/N2 plasma. We find that annealing produces more abrupt interfaces (by reducing the suboxide interface state density), but never more abrupt than ∼1.5 monolayers. We observe a 15%–20% drop in the interface thickness (in particular the “Si2+” peak intensity) with increasing annealing temperature. Somewhat different behavior is observed with small amounts of nitrogen in the SiO2 film where an apparent increase in interface state density is seen. A quantitative analysis is presented which explores the effects of these sample preparation parameters in terms of relative interface state density and modeling of the SXPS data. DA - 1999/// PY - 1999/// DO - 10.1116/1.581805 VL - 17 IS - 4 SP - 1250-1257 SN - 1520-8559 ER - TY - JOUR TI - Silicon oxide/silicon nitride dual-layer films: a stacked gate dielectric for the 21st century AU - Lucovsky, G T2 - JOURNAL OF NON-CRYSTALLINE SOLIDS AB - Incorporation of nitrogen atoms into ultra thin (<0.3 nm) gate dielectrics (i) reduces defect generation at the Si–SiO2 interface, (ii) allows use of physically thicker dielectrics when incorporated into oxide–nitride stacked gate dielectrics, and (iii) prevents boron atom transport out of heavily doped p+ polycrystalline silicon gate electrodes when nitrided layers are incorporated at the polycrystalline Si-dielectric interface. I demonstrate that nitrogen atoms can be selectively and independently incorporated into different parts of the gate dielectric structure by low-temperature (∼300°C) remote plasma assisted processing followed by low-thermal budget rapid thermal annealing (RTA) yielding state of the art field effect transistors with oxide equivalent thicknesses less than 2 nm. DA - 1999/9/1/ PY - 1999/9/1/ DO - 10.1016/S0022-3093(99)00432-9 VL - 254 SP - 26-37 SN - 1873-4812 ER - TY - JOUR TI - Selective area metalorganic molecular-beam epitaxy of GaN and the growth of luminescent microcolumns on Si/SiO2 AU - Guha, S AU - Bojarczuk, NA AU - Johnson, MAL AU - Schetzina, JF T2 - APPLIED PHYSICS LETTERS AB - We demonstrate the selective area growth of gallium nitride on patterned Si(111)/GaN/SiO2 wafers by metalorganic molecular beam epitaxy using triethyl gallium as a Ga source. We show that such selective area deposition may be used to grow isolated microcolumns of GaN with lateral dimensions of tens of nanometers on Si/SiO2 wafers. Via high resolution cathodoluminescence imaging we show that such microcolumn structures are highly luminescent inspite of a large surface to volume ratio, indicating that nonradiative recombination at free surfaces is not a significant issue in this system. DA - 1999/7/26/ PY - 1999/7/26/ DO - 10.1063/1.124409 VL - 75 IS - 4 SP - 463-465 SN - 0003-6951 ER - TY - JOUR TI - Reaction/annealing pathways for forming ultrathin silicon nitride films for composite oxide-nitride gate dielectrics with nitrided crystalline silicon-dielectric interfaces for application in advanced complementary metal-oxide-semiconductor devices AU - Lucovsky, G T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A AB - Aggressive scaling of complementary metal–oxide–semiconductor (CMOS) devices requires gate dielectrics with an oxide equivalent thickness, tox,eq∼1 nm or less by the product introduction year 2012. Direct tunneling presents a significant performance limitation in field-effect transistors (FETs) with homogeneous oxide gate dielectrics &lt;1.7 nm. Boron diffusion from p+ poly-Si gate electrodes in p-channel FETs leads to additional electrical problems for oxide thicknesses &lt;3 nm. Interfacial nitridation improves reliability in n-channel FETs; however, by itself, it is not effective in p-type metal–oxide–semiconductor FETs due to boron pileup at the Si–dielectric interface. Proposed solutions include top-oxide surface nitridation and the integration of composite oxide–nitride dielectrics into CMOS devices. This review discusses the integration of hydrogenated silicon nitride films, prepared by remote plasma-enhanced chemical-vapor deposition, into electrical devices with composite oxide–nitride (ON) gate dielectrics. FET devices with ON dielectrics having the same oxide-equivalent thickness, tox-eq and gate dielectric capacitance as devices with homogeneous oxide gate dielectrics display improved performance and reliability. However, reductions in direct tunneling current due to increased physical thickness are below expectations based on tunneling calculations which assume the tunneling mass of electrons in nitride films is approximately the same as in SiO2. The combination of a lower electron tunneling mass and a reduced conduction-band offset energy (i) places important limitations on the extent to which devices with ON gate dielectrics can meet the aggressive scaling needed in advanced CMOS devices, and (ii) raises important questions that have to be addressed when evaluating alternative high-K dielectrics such as Ta2O5, TiO2, and Al2O3. However, tunneling can be reduced by combining monolayer interface nitridation with ON stacks. DA - 1999/// PY - 1999/// DO - 10.1116/1.581818 VL - 17 IS - 4 SP - 1340-1351 SN - 1520-8559 ER - TY - JOUR TI - Planarization processes and applications - II. B2O3/P2O5 doped GeO2-SiO2 classes AU - Simpson, DL AU - Croswell, RT AU - Reisman, A AU - Temple, D AU - Williams, CK T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Plasma enhanced chemical vapor deposition of boron and phosphorus doped mixed glass films in a horizontal tube reactor using germane , silane , diborane , phosphine , and oxygen has been studied. The glass films offer the potential for both trench refilling and interlevel dielectric applications. Film synthesis was carried out at 200°C using a dual coil inductively coupled plasma system. Oxide film composition was determined using energy dispersive X‐ray spectroscopy and Auger energy spectroscopy. Cross‐sectional scanning electron microscopy was employed for studing the compositional dependency of the reflow behavior of the mixed , , , and glass films over silicon trenches under various ambient atmospheres. Reflow experiments were performed at temperatures ranging from 550 to 800°C in various gas ambient atmospheres. As result of the work, a low temperature (∼600°C) reflow process was developed resulting in fully planar dielectric films. This process may have application for planarization of interlevel dielectrics for ultralarge scale integrated circuits. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/10// PY - 1999/10// DO - 10.1149/1.1392566 VL - 146 IS - 10 SP - 3872-3885 SN - 0013-4651 ER - TY - JOUR TI - Planarization processes and applications - I. Undoped GeO2-SiO2 glasses AU - Simpson, DL AU - Croswell, RT AU - Reisman, A AU - Temple, D AU - Williams, CK T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - The reflow behavior of undoped glass films deposited by plasma enhanced chemical vapor deposition using germane, silane. and oxygen has been studied in several annealing ambient atmospheres. Such films offer the potential for both trench etchrefill and interlevel dielectric applications. Film synthesis was carried out at 200°C using a dual coil inductively coupled plasma system. Oxide film composition was determined using energy dispersive X‐ray spectroscopy and Auger energy spectroscopy. Cross‐sectional scanning electron microscopy has been employed to study the flow behavior of glass films of varying compositions over silicon trenches. Reflow was studied over the temperature range from 600 to 1050°C. The lowest temperature at which complete planarization was observed was 750 and 850°C in steam and inert gas ambient atmospheres, respectively, for films containing approximately 85 mol % . Surprisingly, it was discovered that the steam anneals caused a decrease in the Ge composition of as‐deposited germanosilicate glasses. This offers the potential for establishing a reflow hierarchy, which may have application in the planarization of interlevel dielectrics for ultralarge scale integrated circuits. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/10// PY - 1999/10// DO - 10.1149/1.1392565 VL - 146 IS - 10 SP - 3860-3871 SN - 0013-4651 ER - TY - JOUR TI - Phase separation and ordering coexisting in InxGa1-xN grown by metal organic chemical vapor deposition AU - Behbehani, MK AU - Piner, EL AU - Liu, SX AU - El-Masry, NA AU - Bedair, SM T2 - APPLIED PHYSICS LETTERS AB - We have recently reported the occurrence of phase separation in InxGa1−xN samples with x&gt;0.25. Theoretical studies have suggested that InxGa1−xN can phase-separate asymmetrically into a low InN% phase and an ordered high InN% phase. In this letter, we report on the existence of simultaneous phase separation and ordering of InxGa1−xN samples with x&gt;0.25. In these samples, phase separation was detected by both transmission electron microscopy selected area diffraction (TEM-SAD) and x-ray diffraction. Ordering was detected by both imaging and TEM-SAD. DA - 1999/10/11/ PY - 1999/10/11/ DO - 10.1063/1.124964 VL - 75 IS - 15 SP - 2202-2204 SN - 0003-6951 ER - TY - JOUR TI - Pendeo-epitaxy versus lateral epitaxial overgrowth of GaN: A comparative study via finite element analysis AU - Zheleva, T. S. AU - Ashmawi, W. M. AU - Jones, K. A. T2 - Physica Status Solidi. A, Applications and Materials Science DA - 1999/// PY - 1999/// VL - 176 IS - 1 SP - 545-551 ER - TY - JOUR TI - Oxygen precipitation behavior in 300 mm polished Czochralski silicon wafers AU - Ono, T AU - Rozgonyi, GA AU - Au, C AU - Messina, T AU - Goodall, RK AU - Huff, HR T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - The oxygen precipitation behavior in large diameter (300 mm) Czochralski silicon polished wafers with initial oxygen concentrations between 25–36 parts per million atomic and low carbon content, has been studied following two heat‐treatments (low‐high annealing). It was found that an oxygen precipitation retardation and recovery phenomena occured. Transmission electron microscopy observations showed that extended defect formation is drastically changed from punched‐out dislocations in precipitate retardation samples, to stacking faults in precipitation recovery samples. The precipitation retardation phenomenon is consistent with a previous model on smaller diameter wafers; however, the current experimental results for 300 mm wafers indicate platelet precipitate growth during the first low temperature annealing plays a key role in the oxygen precipitation recovery phenomenon. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/10// PY - 1999/10// DO - 10.1149/1.1392555 VL - 146 IS - 10 SP - 3807-3811 SN - 0013-4651 ER - TY - JOUR TI - Oxide precipitate-induced dislocation generation in heavily boron-doped Czochralski silicon AU - Ono, T AU - Romanowski, A AU - Asayama, E AU - Horie, H AU - Sueoka, K AU - Tsuya, H AU - Rozgonyi, GA T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Dislocation generation associated with oxide precipitates in heavily boron‐doped Czochralski silicon wafers with resistivities of 9, 18, and 40 mΩ cm has been studied following prolonged isothermal annealing from 800 to 1000°C. Transmission electron microscopy observations revealed (i) the critical precipitate size for punched‐out dislocations to form in 9 and 18 mΩ cm wafers was smaller than 40 nm in 9 and 18 mΩ cm wafers, while larger than 55 nm in 40 mΩ cm samples; (ii) the precipitate density was higher than in 9 and 18 mΩ cm wafers, and below in 40 mΩ cm wafers annealed at 800 and 900°C, respectively. The strain around a precipitate was estimated and it was concluded that the higher supersaturation of silicon interstitials in the 9 and 18 mΩ cm wafers was due to the higher precipitate density, which in turn was likely to be the main cause of the reduction in critical precipitate size. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/9// PY - 1999/9// DO - 10.1149/1.1392496 VL - 146 IS - 9 SP - 3461-3465 SN - 0013-4651 ER - TY - JOUR TI - Modification of a thermoplastic elastomer gel through the addition of an endblock-selective homopolymer AU - Jackson, NR AU - Wilder, EA AU - White, SA AU - Bukovnik, R AU - Spontak, RJ T2 - JOURNAL OF POLYMER SCIENCE PART B-POLYMER PHYSICS AB - Addition of a midblock-selective oil to an ABA triblock copolymer with a rubbery B-midblock and thermoplastic A-endblocks yields a thermoplastic elastomer gel (TPEG) if the oil constitutes the majority blend constituent and a physically crosslinked network, responsible for solid-like mechanical properties, is retained. These blends typically exhibit a micellar morphology in which the micellar cores are composed of the oil-incompatible A-endblocks. Since the micelles serve as crosslink sites, the properties of TPEGs depend on (i) the intrinsic characteristics of the solid-state endblocks, and (ii) the degree to which the micelles interact through bridged and looped midblocks. In this work, a poly[styrene-b-(ethylene-co-butylene)-b-styrene] triblock copolymer and an aliphatic oil are used to prepare TPEGs into which poly(2,6-dimethylphenylene oxide) (PPO), a styrene-compatible homopolymer, is added. The morphologies and bulk properties of these ternary systems are examined by electron microscopy, viscometry, and dynamic rheology. A slight increase in the PPO content in these TPEGs promotes increases in micelle size, confirming that the PPO primarily resides within the micelles, and disordering temperature, signified by an abrupt change in rheological properties. © 1999 John Wiley & Sons, Inc. J Polym Sci B: Polym Phys 37: 1863–1872, 1999 DA - 1999/8/1/ PY - 1999/8/1/ DO - 10.1002/(SICI)1099-0488(19990801)37:15<1863::AID-POLB10>3.0.CO;2-X VL - 37 IS - 15 SP - 1863-1872 SN - 1099-0488 KW - thermoplastic elastomer gel KW - block copolymer KW - micelle KW - order-disorder transition ER - TY - JOUR TI - Microstructure, electrical properties, and thermal stability of Ti-based ohmic contacts to n-GaN AU - Smith, LL AU - Davis, RF AU - Liu, RJ AU - Kim, MJ AU - Carpenter, RW T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/3// PY - 1999/3// DO - 10.1557/JMR.1999.0137 VL - 14 IS - 3 SP - 1032-1038 SN - 2044-5326 ER - TY - PAT TI - Method for water vapor enhanced charged-particle-beam machining AU - Russell, P. E. AU - Griffis, D. P. AU - Shedd, G. M. AU - Stark, T. J. AU - Vitarelli, J. C2 - 1999/// DA - 1999/// PY - 1999/// ER - TY - JOUR TI - Interaction of Cu and Cu3Ge thin films with Si1-xGex alloys AU - Aboelfotoh, MO AU - Borek, MA AU - Narayan, J T2 - APPLIED PHYSICS LETTERS AB - The interaction of Cu and Cu3Ge thin films with Si1−xGex (x=0.5) alloy layers epitaxially grown on Si(100) has been studied in the temperature range of 250–400 °C. In this temperature range, Cu reacts with the alloy to form a Cu3(Si1−xGex) ternary phase with an ordered body-centered-cubic crystal structure. The Cu3(Si1−xGex) phase exhibits high-room-temperature (∼150 μΩ cm) and nonmetallic resistivity. However, this ternary phase is not observed and the diffusion of Cu into the alloy is suppressed when Cu is replaced by low resistivity (typically less than 10 μΩ cm at room temperature) ε1-Cu3Ge phase. In contrast to the results reported for films of ε1-Cu3Ge formed on Si(100), the outdiffusion of Si into the ε1-Cu3Ge films is found to be suppressed when the films are formed on Si0.5Ge0.5 layers, indicating the increased stability of ε1-Cu3Ge on Si1−xGex alloys compared to pure silicon. DA - 1999/9/20/ PY - 1999/9/20/ DO - 10.1063/1.124804 VL - 75 IS - 12 SP - 1739-1741 SN - 0003-6951 ER - TY - JOUR TI - Homoepitaxial GaN layers studied by low-energy electron microscopy, atomic force microscopy and transmission electronmicroscopy AU - Pavlovska, A. AU - Torres, V. M. AU - Edwards, J. L. AU - Bauer, E. AU - Smith, D. J. AU - Doak, R. B. AU - Tsong, I. S. T. AU - Thomson, D. B. AU - Davis, R. F. T2 - Physica Status Solidi. A, Applications and Materials Science DA - 1999/// PY - 1999/// VL - 176 IS - 1 SP - 469-473 ER - TY - JOUR TI - Ferroelectric properties of new chemical solution derived SBT thin films for non-volatile memory devices AU - Kim, SH AU - Kim, DJ AU - Im, J AU - Kim, CE AU - Kingon, AI T2 - JOURNAL OF SOL-GEL SCIENCE AND TECHNOLOGY DA - 1999/10// PY - 1999/10// DO - 10.1023/A:1008748718231 VL - 16 IS - 1-2 SP - 57-63 SN - 1573-4846 KW - ferroelectricity KW - chemical solution KW - alkanolamine KW - SBT KW - imprint KW - fatigue ER - TY - JOUR TI - Electronic structure of the 0.88-eV luminescence center in electron-irradiated gallium nitride AU - Buyanova, I. A. AU - Wagner, M. AU - Chen, W. M. AU - Edwards, N. V. AU - Monemar, B. AU - Lindstrom, J. L. AU - Bremser, M. D. AU - Davis, R. F. AU - Amano, H. AU - Akasaki, I. T2 - Physical Review. B, Condensed Matter and Materials Physics DA - 1999/// PY - 1999/// VL - 60 IS - 3 SP - 1746-1751 ER - TY - JOUR TI - Effects of graphite content on the morphology and barrier properties of poly(vinylidene fluoride) composites AU - Busick, DN AU - Spontak, RJ AU - Balik, CM T2 - POLYMER AB - We have performed a series of morphology and CO2-probe diffusion analyses to ascertain the existence and composition dependence of voids in graphite/poly(vinylidene fluoride) composites both above and below the graphite percolation threshold, as determined from electrical conductivity measurements. Sorption data indicate that, with increasing graphite loading: (i) the diffusivity of CO2 in the composite material decreases; and (ii) the volume fraction of voids in the material increases. Differential scanning calorimetry reveals that polymer crystals nucleate heterogeneously on graphite particles and that samples containing graphite have higher degrees of crystallinity than the neat polymer. Crystallinity effects appear to dominate barrier properties at low graphite loadings, while porosity effects dominate at high graphite loadings. Our results strongly suggest that, although voids in these composites are probably associated with relatively poor adhesion along graphite/polymer interfaces, the voids are also discrete (i.e. they do not form a continuous network, even if the graphite particles do). DA - 1999/10// PY - 1999/10// DO - 10.1016/S0032-3861(98)00826-X VL - 40 IS - 22 SP - 6023-6029 SN - 0032-3861 KW - diffusion KW - graphite KW - poly(vinylidene fluoride) ER - TY - JOUR TI - Effect of dynamic strain aging on mechanical and fracture properties of A516Gr70 steel AU - Seok, CS AU - Murty, KL T2 - INTERNATIONAL JOURNAL OF PRESSURE VESSELS AND PIPING AB - The effects of dynamic strain aging (DSA) on mechanical and fracture characteristics were investigated in a ferritic steel type A516Gr70 commonly used for reactor support steels and pressure vessels. Tensile and 3-point bend tests were performed on miniature tensile and subsize Charpy specimens at various temperatures from ambient to about 700 K with specific purpose of delineating the friction and source hardening terms, and the effects of DSA on them. The total energy to fracture obtained from the areas under the load–displacement curves of 3-point bend tests of subsize Charpy specimens revealed dips in the DSA range. Unloading compliance JIC tests were performed on 1TCT specimens at varied temperatures from 243 (−30) to about 773 K (500°C) using a clip gauge (T<400K) and a high-temperature extensometer. At temperatures higher than 273 K valid JIC measurements could be made and JIC decreased with increasing temperature. However, no dips in the DSA range were noted contrary to the earlier observations in A533B steel and pure iron. Effect of neutron radiation exposure was investigated on the mechanical properties using subsize tensile and 3-point bend specimens. Synergistic effects of interstitial impurities and radiation-induced defects resulted in shifting the region of DSA to higher temperatures revealing improved ductility in some temperature regimes following radiation exposure. DA - 1999/12// PY - 1999/12// DO - 10.1016/S0308-0161(99)00075-7 VL - 76 IS - 14-15 SP - 945-953 SN - 0308-0161 KW - dynamic strain aging KW - mechanical and fracture properties KW - fracture toughness ER - TY - JOUR TI - Chemical vapor cleaning of 6H-SiC surfaces AU - King, SW AU - Kern, RS AU - Benjamin, MC AU - Barnak, JP AU - Nemanich, RJ AU - Davis, RF T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - The techniques (temperature range of study) of in situ thermal desorption (500‐1100°C) and chemical vapor cleaning (CVC) via exposure to and/or (750‐1100°C) have been investigated for preparing surfaces suitable for epitaxial growth of SiC and III‐nitride films, and are compared with regard to surface purity, stoichiometry, and structural order. Oxide removal below the detection limits of Auger electron spectroscopy was achieved for all orientations via annealing in at 850‐900°C or ≈200° lower than necessary by thermal desorption. No non‐SiC carbon was detected on the surface by X‐ray photoelectron spectroscopy. An approximately one‐tenth of a monolayer of oxygen coverage and significant quantities of non‐SiC carbon were detected for all 6H‐SiC surfaces prepared by thermal desorption. In contrast to the predominantly non‐SiC carbon‐rich surfaces prepared by thermal desorption, the stoichiometry of the SiC surfaces prepared by CVC could be manipulated from Si‐rich to C‐rich without non‐SiC carbon formation by either extending the exposures or by following with exposure. The latter surfaces also had lower concentrations of both oxygen and non‐SiC carbon and increased surface order. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/9// PY - 1999/9// DO - 10.1149/1.1392494 VL - 146 IS - 9 SP - 3448-3454 SN - 0013-4651 ER - TY - JOUR TI - Cathodoluminescence spectroscopy of nitrided SiO2-Si interfaces AU - Young, AP AU - Bandhu, R AU - Schafer, J AU - Niimi, H AU - Lucovsky, G T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS AB - We use cathodoluminescence spectroscopy (CLS) to investigate the electronic states of ultrathin gate dielectrics with nitrided SiO2–Si interfaces, known to improve reliability in advanced complementary metal–oxide–semiconductor devices. The 5 nm thick films investigated were: (i) as-deposited (at 300 °C) structures, (ii) 400 °C hydrogen anneal, (iii) 900 °C rapid thermal anneal (RTA), and (iv) a combination of both anneals. CLS emission energies and intensities versus excitation energy were essentially unchanged for the as-deposited interface compared to non-nitrided plasma-processed interfaces. In the near-infrared, features appear at 0.8 and 1.0 eV, with the 1.0 eV peak Si substrate intensity increasing with increasing depth. From depth variation measurements at higher photon energy, a 3.4 eV peak is also shown to arise from the Si substrate, and a 2.7 eV feature is shown to come from the interface region. After hydrogenation, the CLS is essentially the same as for non-nitrided interfaces, except for an increase in the relative intensity of a broad background luminescence ranging from 1.5 to 2.5 eV. However, the RTA and the combination of the RTA and hydrogenation do not completely suppress emission near 2.0 eV feature as for non-nitrided interfaces. From the behavior of the CLS features, we are able to clearly distinguish between interfacial defects and substrate features, which are significantly reduced by the combined RTA/hydrogen anneal, and features that are not reduced by the annealing procedures. DA - 1999/// PY - 1999/// DO - 10.1116/1.581806 VL - 17 IS - 4 SP - 1258-1262 SN - 0734-2101 ER - TY - JOUR TI - Analysis of high-index Si(001) surfaces by reflectance difference spectroscopy AU - Mantese, L AU - Xue, QK AU - Sakurai, T AU - Aspnes, DE T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A AB - We report surface-induced optical anisotropy spectra of high-index Si(115), (114), and (113) surfaces obtained using reflectance difference spectroscopy. Air-oxidized surfaces show sharp derivative-type features that are step-induced and located near the critical point energies of bulk Si, consistent with those of lower-index Si(001) surfaces. Clean reconstructed surfaces are characterized by a broad feature near 3 eV that tends to decrease in amplitude upon H exposure and a step-induced structure near the (E0′,E1) transition of bulk Si. In contrast, H exposure of Ge-covered surfaces tends to sharpen and enhance lower-energy structures. The derivative-type features located near the bulk critical point energies of Si can be described in terms of electronic states localized by the finite penetration depth of light. DA - 1999/// PY - 1999/// DO - 10.1116/1.581867 VL - 17 IS - 4 SP - 1652-1656 SN - 1520-8559 ER - TY - JOUR TI - An alternative derivation for the equilibrium constant of binary solid solution-vapor systems AU - Soman, R AU - Reisman, A AU - Temple, D T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - For an ideal Si‐Ge solid solution deposited from an ideal vapor phase in a two phase solid solution‐vapor system, the equilibrium constant, , for the global process has been derived in terms of the equilibrium constant for the deposition of a pure solid phase. ,and the solid solution mole fraction of silicon species, , and has been shown to be . Derivation of the relationship for the germanium species is symmetrical to that for silicon and given by . © 1999 The Electrochemical Society. All rights reserved. DA - 1999/10// PY - 1999/10// DO - 10.1149/1.1392557 VL - 146 IS - 10 SP - 3817-3818 SN - 0013-4651 ER - TY - JOUR TI - X-ray photoelectron spectroscopy analysis of GaN/(0001)AlN and AlN/(0001)GaN growth mechanisms AU - King, SW AU - Carlson, EP AU - Therrien, RJ AU - Christman, JA AU - Nemanich, RJ AU - Davis, RF T2 - JOURNAL OF APPLIED PHYSICS AB - The mechanisms of growth of GaN on AlN and AlN on GaN via gas source-molecular beam epitaxy with NH3 as the nitrogen source have been investigated using x-ray photoelectron spectroscopy, low energy electron diffraction, and Auger electron spectroscopy. The growth of GaN on AlN at low temperatures (650–750 °C) occurs via a Stranski–Krastanov 2D→3D type mechanism with the transition to 3D growth occurring at ≈10–15 Å. The mechanism changes to Frank van der Merwe (FM)/layer-by-layer growth above 800 °C. The growth of AlN on GaN occurred via a FM layer-by-layer mechanism within the 750–900 °C temperature range investigated. We propose a model based on the interaction of ammonia and atomic hydrogen with the GaN/AlN surfaces which indicates that the surface kinetics of hydrogen desorption and ammonia decomposition are the factors that determine the GaN growth mechanism. DA - 1999/11/15/ PY - 1999/11/15/ DO - 10.1063/1.371564 VL - 86 IS - 10 SP - 5584-5593 SN - 0021-8979 ER - TY - JOUR TI - Valence band discontinuity of the (0001) 2H-GaN/(111) 3C-SiC interface AU - King, SW AU - Davis, RF AU - Ronning, C AU - Nemanich, RJ T2 - JOURNAL OF ELECTRONIC MATERIALS DA - 1999/12// PY - 1999/12// DO - 10.1007/s11664-999-0145-4 VL - 28 IS - 12 SP - L34-L37 SN - 0361-5235 KW - GaN KW - SiC KW - valence band KW - discontinuity KW - gallium nitride KW - silicon carbide KW - x-ray photoelectron spectroscopy KW - ultra-violet photoelectron spectroscopy ER - TY - JOUR TI - The oxygen minimum zone in the Arabian Sea during 1995 AU - Morrison, JM AU - Codispoti, LA AU - Smith, SL AU - Wishner, K AU - Flagg, C AU - Gardner, WD AU - Gaurin, S AU - Naqvi, SWA AU - Manghnani, V AU - Prosperie, L AU - Gundersen, JS T2 - DEEP-SEA RESEARCH PART II-TOPICAL STUDIES IN OCEANOGRAPHY AB - This paper focuses on the characteristics of the oxygen minimum zone (OMZ) as observed in the Arabian Sea over the complete monsoon cycle of 1995. Dissolved oxygen, nitrite, nitrate and density values are used to delineate the OMZ, as well as identify regions where denitrification is observed. The suboxic conditions within the northern Arabian Sea are documented, as well as biological and chemical consequences of this phenomenon. Overall, the conditions found in the suboxic portion of the water column in the Arabian Sea were not greatly different from what has been reported in the literature with respect to oxygen, nitrate and nitrite distributions. Within the main thermocline, portions of the OMZ were found that were suboxic (oxygen less than ∼4.5 μM) and contained secondary nitrite maxima with concentrations that sometimes exceeded 6.0 μM, suggesting active nitrate reduction and denitrification. Although there may have been a reduction in the degree of suboxia during the Southwest monsoon, a dramatic seasonality was not observed, as has been suggested by some previous work. In particular, there was not much evidence for the occurrence of secondary nitrite maxima in waters with oxygen concentrations greater than 4.5 μM. Waters in the northern Arabian Sea appear to accumulate larger nitrate deficits due to longer residence times even though the denitrification rate might be lower, as evident in the reduced nitrite concentrations in the northern part of the basin. Organism distributions showed string relationships to the oxygen profiles, especially in locations where the OMZ was pronounced, but the biological responses to the OMZ varied with type of organism. The regional extent of intermediate nepheloid layers in our data corresponds well with the region of the secondary nitrite maximum. This is a region of denitrification, and the presence and activities of bacteria are assumed to cause the increase in particles. ADCP acoustic backscatter measurements show diel vertical migration of plankton or nekton and movement into the OMZ. Daytime acoustic returns from depth were strong, and the dawn sinking and dusk rise of the fauna were obvious. However, at night the biomass remaining in the suboxic zone was so low that no ADCP signal was detectable at these depths. There are at least two groups of organisms, one that stays in the upper mixed layer and another that makes daily excursions. A subsurface zooplankton peak in the lower OMZ (near the lower 4.5 μM oxycline) was also typically present; these animals occurred day and night and did not vertically migrate. DA - 1999/// PY - 1999/// DO - 10.1016/S0967-0645(99)00048-X VL - 46 IS - 8-9 SP - 1903-1931 SN - 0967-0645 ER - TY - JOUR TI - The Ras al Hadd Jet: Remotely sensed and acoustic Doppler current profiler observations in 1994-1995 AU - Bohm, E AU - Morrison, JM AU - Manghnani, V AU - Kim, HS AU - Flagg, CN T2 - DEEP-SEA RESEARCH PART II-TOPICAL STUDIES IN OCEANOGRAPHY AB - The existence of a surface barotropic front-jet system at the confluence region off the eastern tip of Oman (Ras Al Hadd or RAH) is documented for 1994–1995 through advanced very high resolution radiometer (AVHRR) and acoustic Doppler current profiler (ADCP) observations. The thermal signature of this confluence is visible in 1995 between early May and the end of October, i.e., throughout the SW Monsoon and into the transition period between SW and NE Monsoons. The thermal characteristics are those of a NE-oriented front between cooler water of southern (upwelled) origin and warmer waters of northern Gulf of Oman origin. During the period when the thermal front is absent, ADCP data suggest that the confluence takes a more southward direction with Gulf of Oman waters passing RAH into the southeastern Oman coastal region. The thermal gradient is initially small (June–July) but later increases (August–October) into a front that exhibits small-scale instabilities. Surface current velocities within the jet, estimated by tracking these features in consecutive satellite images, are 0.5–0.7 m s−1 and in remarkable agreement with concurrent ADCP retrievals in which the seasonal maximum in velocity is 1 m s−1. ADCP observations collected during several US JGOFS cruises reveal a weakly baroclinic current in the confluence region that drives the waters into the offshore system. The fully developed jet describes a large meander that demarcates two counter-rotating eddies (cyclonic to the north and anticyclonic to the south of the jet) of approximately 150–200 km diameter. The southern eddy of this pair is resolved by the seasonally averaged, sea-level anomaly derived from TOPEX/Poseidon observations. During the SW Monsoon, the RAH Jet advects primarily cold waters along its path, but as soon as the wind system reverses with the transition to the intermonsoonal period, a warm current is rapidly established that advects the surface coastal waters of the Gulf of Oman offshore. In accordance with the interannual variation of the wind forcing phase, the reversal of the currents from NE to SW occurred earlier in 1994 than in 1995, confirming that the RAH Jet is integral part of the East Arabian Current. The transport of the Jet, estimated by combining SST information on the width with ADCP data on the velocity's vertical structure, is found to fluctuate between 2–8×106 m3 s−1 and its thickness between 150–400 m. These significant fluctuations are due to the time-variable partition of horizontal transport between eddies and the RAH Jet and are potentially important to the nutrient and phytoplankton budgets of the Arabian Sea. DA - 1999/// PY - 1999/// DO - 10.1016/S0967-0645(99)00034-X VL - 46 IS - 8-9 SP - 1531-1549 SN - 0967-0645 ER - TY - JOUR TI - Remotely sensed features in the US JGOFS Arabian Sea Process Study AU - Shi, W AU - Morrison, JM AU - Bohm, E AU - Manghnani, V T2 - DEEP-SEA RESEARCH PART II-TOPICAL STUDIES IN OCEANOGRAPHY AB - TOPEX/POSEIDON altimeter data and wind data are used to calculate the geostrophic transport and Ekman transport in the northern Arabian Sea within the upper 500 m. In the summer, the upper 500-m layer in the northern Arabian Sea is horizontally divergent, with a transport going out of the northern Arabian Sea across 15.75°N reaching a maximum of 10×106 m3 s−1 in late June. In the winter, it is horizontally convergent, with a transport within the upper 500 m layer across 15.75°N reaching about 5×106 m3 s−1 into the northern Arabian Sea. The mean net transport for 1993–1995 out of the northern Arabian Sea across 15.75°N within the upper 500 m is estimated to be 0.74×106 m3 s−1. Most of the deep water upwelling across the 500 m depth, which compensates for the loss of waters in the upper 500-m layer, occurs in the eastern part of the northern Arabian Sea. The North Equatorial Current is found to deflect into the Arabian Sea during the NE Monsoon and the Spring Intermonsoon periods. In addition, estimates are made of the net transport into and out of the region encompassed by the US Joint Global Ocean Flux Study (JGOFS) Arabian Sea Process Study. The total transport out of the US JGOFS region is approximately 3.5–4.0×106 m3 s−1 in July of 1995 in the upper 500 m. Analysis of the mean sea surface height for the Arabian Sea shows a periodic change with the seasonal monsoon, with a typical depression of the ocean surface during the summer indicative of Arabian Sea cooling. The yearly change of the averaged sea surface height at 15.75°N is of the order of 15 cm. Rossby wave propagation also was observed at 15.75°N in the sea surface height fields. DA - 1999/// PY - 1999/// DO - 10.1016/S0967-0645(99)00035-1 VL - 46 IS - 8-9 SP - 1551-1575 SN - 0967-0645 ER - TY - JOUR TI - Preparation and mechanical properties of composite diamond-like carbon thin films AU - Wei, Q AU - Narayan, RJ AU - Sharma, AK AU - Sankar, J AU - Narayan, J T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS AB - We have investigated mechanical properties of diamond-like carbon (DLC) thin films, particularly the internal compressive stress and ways to alleviate it. Foreign atoms such as copper, titanium, and silicon were incorporated into the DLC films during pulsed laser deposition. The chemical composition of the doped films was determined using Rutherford backscattering spectrometry (RBS) and x-ray photoelectron spectroscopy (XPS). Optical microscopy of the doped films showed that DLC films containing Cu exhibit much less particulate density as compared to the films containing Ti and Si. Visible Raman spectroscopy was used to characterize the films. The effect of dopants on the Raman spectrum was analyzed in terms of peak shape and position. Optical microscopy of the pure DLC of a certain thickness showed severe buckling. The mechanisms of adhesion associated with DLC coatings were discussed. Qualitative scratch tests on the specimens showed that pure DLC films have relatively poor adhesion due to a large compressive stress, while the doped DLC films exhibit much improved adhesion. Wear tests show improved wear resistance in the doped DLC coatings. Nanoindentation results suggest that pure DLC has an average hardness above 40 GPa and effective Young’s modulus above 200 GPa. The doped DLC films showed slightly decreased hardness and Young’s modulus as compared to pure DLC films. These results can be rationalized by analyzing the internal stress reduction as derived from Raman G-peak shift to lower wavenumbers. A preliminary interpretation of the stress reduction mechanism is discussed. DA - 1999/// PY - 1999/// DO - 10.1116/1.582074 VL - 17 IS - 6 SP - 3406-3414 SN - 0734-2101 ER - TY - JOUR TI - Plastic deformation of fine-grained Al2O3 in the presence of an electric field AU - Yang, D AU - Conrad, H T2 - SCRIPTA MATERIALIA AB - The effect of electric field/current on creep deformation was examined in fine-grained 8 mol% Y2O3 stabilized cubic ZrO2 (8Y-CSZ) under direct and alternative current (DC and AC) conditions. Even at similar sample temperature of 1160–1170 °C, although the electric fields/currents accelerate the deformation of 8Y-CSZ, the acceleration effect (athermal effect), which cannot be explained by an increase of the sample temperature due to Joule heating, is much pronounced in AC than in DC. Under the deformation without the electric field/current, the creep behavior can be characterized by diffusional creep processes with a stress exponent of n ≈ 1, whereas under DC and AC, the predominant mechanism changes to grain boundary sliding (GBS) with n ≈ 2. This indicates that the athermal effect under the electric field/current changes the deformation mechanism from diffusional creep to GBS mechanisms by enhancing GBS and its rate controlling process of cation diffusivity, especially in AC. DA - 1999/7/23/ PY - 1999/7/23/ DO - 10.1016/S1359-6462(99)00126-8 VL - 41 IS - 4 SP - 397-401 SN - 1359-6462 KW - oxide KW - plastic deformation KW - high temperature KW - electrical properties KW - kinetics ER - TY - JOUR TI - Origins of defects in self assembled GaP islands grown on Si(001) and Si(111) AU - Narayanan, V AU - Sukidi, N AU - Bachmann, KJ AU - Mahajan, S T2 - THIN SOLID FILMS AB - Microstructures of GaP epitaxial islands grown on Si(001) and Si(111) by chemical beam epitaxy have been investigated by transmission electron microscopy (TEM). Results indicate that planar-defect free GaP islands of sizes <20 nm can be produced at 560°C on Si(001). Some of the islands are faceted on {111} and {113} planes. Subsequent planar defect formation occurs due to stacking errors on the smaller {111} facets of GaP islands that may be P-terminated. These stacking errors are attributed to the low surface mobility on P-terminated facets. A high density of planar defects is observed in smaller islands grown on Si(001) at 420°C, a consequence of reduced atomic mobility at low temperatures that leads to {111} stacking errors. Wurtzite GaP has been observed to coexist with the zinc-blende polytype in some of the islands grown on Si(111) at 560°C. DA - 1999/12/1/ PY - 1999/12/1/ DO - 10.1016/S0040-6090(99)00474-5 VL - 357 IS - 1 SP - 53-56 SN - 0040-6090 KW - GaP islands KW - Si substrates KW - defects KW - high resolution transmission electron microscopy ER - TY - JOUR TI - Monolayer-level controlled incorporation of nitrogen at Si-SiO(2) interfaces using remote plasma processing AU - Niimi, H AU - Lucovsky, G T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A AB - We demonstrate three different ways to incorporate nitrogen at Si–SiO2 interfaces: (i) an O2/He plasma oxidation of the Si surface followed by an N2/He plasma nitridation, (ii) an N2/He plasma nitridation of the Si surface, and (iii) a Si3N4 film deposition on to the Si surface. The two-step interface formation, the O2/He plasma oxidation followed by the N2/He plasma nitridation, is shown to yield significantly better interface device properties than the other two approaches. These differences in interface properties are explained by an application of constraint theory based on comparisons of the average bonding coordination of the dielectric layer at the interface with the Si substrate. DA - 1999/// PY - 1999/// DO - 10.1116/1.582041 VL - 17 IS - 6 SP - 3185-3196 SN - 0734-2101 ER - TY - JOUR TI - Measurement and calculation of PZT thin film longitudinal piezoelectric coefficients AU - Maiwa, H AU - Maria, JP AU - Christman, JA AU - Kim, SH AU - Streiffer, K AU - Kingon, AI T2 - INTEGRATED FERROELECTRICS AB - Abstract The ferroelectric and piezoelectric properties of 2000 Ä thick chemical solution deposited Pb(ZrxTi1−x)O3 (PZT) thin films were investigated. Several Zr/Ti ratios were studied: 30/70, 50/50 and 65/35, which correspond to tetragonal, near-morphotropic, and rhombohedral symmetries. In all samples, a {111}-texture is predominant. Longitudinal piezoelectric coefficients and their dc field dependence were measured using the contact AFM method. The expected trend of a maximum piezoelectric coefficient at or near to the MPB was not observed. The composition dependence was small, with the maximum d33 occurring in the tetragonal material. To explain the results, crystallographic texture and film thickness effects are suggested. Using a modified phenomenological approach, derived electrostrictive coefficients, and experimental data, d33 values were calculated. Qualitative agreement was observed between the measured and calculated coefficients. Justifications of modifications to the calculations are discussed. Key Words: PiezoelectricAFMPZTcompositioncalculationelectrostriction DA - 1999/// PY - 1999/// DO - 10.1080/10584589908215586 VL - 24 IS - 1-4 SP - 139-146 SN - 1058-4587 KW - piezoelectric KW - AFM KW - PZT KW - composition KW - calculation KW - electrostriction ER - TY - JOUR TI - Influences on imprint failure of SrBi2Ta2O9 thin film capacitors AU - Kim, D. J. AU - Kim, S. H. AU - Maria, J. P. AU - Kingon, A. I. T2 - Integrated Ferroelectrics DA - 1999/// PY - 1999/// VL - 25 IS - 1-4 SP - 691-701 ER - TY - JOUR TI - Impact of changes in the Pt heterostructure bottom electrodes on the ferroelectric properties of SBT thin films AU - Kim, S. H. AU - Kim, D. J. AU - Im, J. AU - Streiffer, S. K. AU - Auciello, O. AU - Maria, J. P. AU - Kingon, A. I. T2 - Integrated Ferroelectrics AB - Abstract The crystallinity and the microstructure of Sr0.8Bi2.3Ta2O9 (SBT) thin films improved with increasing annealing temperature, and strongly influenced the ferroelectric properties. In addition, the properties of SBT films, such as remanent polarization and leakage current density, are closely related to the film/electrode interface and surface roughness of the underlying electrode. SBT films on Pt/TiO2/SiO2/Si and Pt/ZrO2/SiO2/Si substrates exhibited high remanent polarization, low leakage current density, and low voltage saturation as compared to SBT films on Pt/Ti/SiO2/Si substrates. This is deduced to be related to differences in film orientation, electrode roughness, and out-diffusion of Ti onto the surface of the bottom electrode. DA - 1999/// PY - 1999/// DO - 10.1080/10584589908215626 VL - 26 IS - 1-4 SP - 955-970 ER - TY - JOUR TI - Growth of single crystal MgO on TiN/Si heterostructure by pulsed laser deposition AU - Sharma, AK AU - Kvit, A AU - Narayan, J T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS AB - High quality epitaxial films of MgO have been grown on a Si (100) surface with TiN as a buffer layer by pulsed laser deposition. The electron diffraction patterns of these films confirmed the single crystal nature of the films with cube-on-cube epitaxy. It is difficult to grow high quality MgO films directly on Si due to large differences in lattice constants and thermal expansion coefficients of the two materials. The high resolution microscopy on our films revealed sharp interfaces between MgO/TiN and TiN/Si with no indication of interfacial reaction. Raman spectrum of MgO films revealed sharp peaks characteristic of MgO and TiN, indicating a good crystallinity. Further, superconducting YBa2Cu3O7−x films were grown on this heterostructure with a sharp transition at 88 K, indicating a high quality of the grown structure. This work opens a way for the growth of a variety of high quality perovskite structures for integration with silicon devices. DA - 1999/// PY - 1999/// DO - 10.1116/1.582071 VL - 17 IS - 6 SP - 3393-3396 SN - 0734-2101 ER - TY - JOUR TI - Comparative study of field emission-scanning electron microscopy and atomic force microscopy to assess self-assembled monolayer coverage on any type of substrate AU - Neves, BRA AU - Salmon, ME AU - Russell, PE AU - Troughton, EB T2 - MICROSCOPY AND MICROANALYSIS AB - : In this work, we show how field emission-scanning electron microscopy (FE-SEM) can be a useful tool for the study of self-assembled monolayer systems. We have carried out a comparative study using FE-SEM and atomic force microscopy (AFM) to assess the morphology and coverage of self-assembled monolayers (SAM) on different substrates. The results show that FE-SEM images present the same qualitative information obtained by AFM images when the SAM is deposited on a smooth substrate (e.g., mica). Further experiments with rough substrates (e.g., Al grains on glass) show that FE-SEM is capable of unambiguously identifying SAMs on any type of substrate, whereas AFM has significant difficulties in identifying SAMs on rough surfaces. DA - 1999/// PY - 1999/// DO - 10.1017/S1431927699990475 VL - 5 IS - 6 SP - 413-419 SN - 1431-9276 KW - field emission-scanning electron microscopy KW - atomic force microscopy KW - scanning probe microscopy KW - self-assembled monolayers KW - octadecylphosphonic acid ER - TY - JOUR TI - Wet chemical processing of (0001)(Si) 6H-SiC hydrophobic and hydrophilic surfaces AU - King, SW AU - Nemanich, RJ AU - Davis, RF T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - The wetting characteristics of polished or polished and thermally oxidized, on‐ and off‐axis (0001)Si 6H‐SiC [the silicon‐terminated surface of SiC] surfaces in selected acids and bases have been determined and compared with that of (111)Si. Auger electron and X‐ray photoelectron spectroscopies and low energy electron diffraction were used to characterize the chemical state and order of these surfaces. The oxidized SiC surfaces were hydrophilic after oxide removal with a 10:1 HF solution and were terminated with approximately a monolayer containing OH, CO, CH, and F species. The same effects were observed for the similarly treated [the carbon‐terminated surface of SiC], , and surfaces. The as‐polished SiC surfaces were hydrophobic and covered with a thin (5–10 Å) contamination layer composed primarily of C‐C, C‐F, and Si‐F bonded species. Removal of this layer using an RCA SCl etch or Piranha clean resulted in a disordered hydrophilic SiC surface. A 20 Å amorphous Si capping layer both passivated the SiC surfaces and provided a better alternative to the aforementioned contamination layer for producing hydrophobic surfaces on this material. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/5// PY - 1999/5// DO - 10.1149/1.1391864 VL - 146 IS - 5 SP - 1910-1917 SN - 0013-4651 ER - TY - JOUR TI - Valence band discontinuity, surface reconstruction, and chemistry of (0001), (000(1)over-bar), and (1(1)over-bar-00) 2H-AlN/6H-SiC interfaces AU - King, SW AU - Davis, RF AU - Ronning, C AU - Benjamin, MC AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - A detailed examination of the valence band discontinuity (ΔEv) formed at the (0001), (0001̄), and (11̄00) interfaces between 2H–AlN and 6H–SiC has been conducted using x-ray and UV photoelectron spectroscopies. The ΔEv was observed to range from 0.6–2.0 eV depending on the growth direction (i.e., AlN on SiC vs SiC on AlN), as well as the crystallographic orientation, cut of the SiC substrate (i.e., on versus off axis), and SiC surface reconstruction and stoichiometry. A ΔEv of 1.4–1.5 eV was observed for AlN grown on (3×3) (0001)Si6H–SiC on-axis substrates; a ΔEv of 0.9–1.0 eV was observed for off-axis substrates with the same surface reconstruction. The values of ΔEv for AlN grown on (√3×√3)R30°(0001) 6H–SiC on-and-off-axis substrates were 1.1–1.2 eV. A larger valence band discontinuity of 1.9–2.0 eV was determined for 3C–SiC grown on (0001) 2H–AlN. Smaller values of ΔEv of 0.6–0.7 and 0.8–0.9 eV were observed for AlN grown on on-axis (0001̄)C and (11̄00)6H–SiC substrates, respectively. DA - 1999/10/15/ PY - 1999/10/15/ DO - 10.1063/1.371391 VL - 86 IS - 8 SP - 4483-4490 SN - 0021-8979 ER - TY - JOUR TI - Ultrathin nitrided gate dielectrics: Plasma processing, chemical characterization, performance, and reliability AU - Lucovsky, G T2 - IBM JOURNAL OF RESEARCH AND DEVELOPMENT AB - The incorporation of nitrogen (N) atoms into ultrathin gate dielectrics 1) at monolayer levels at Si-SiO 2 interfaces reduces tunneling current and defect generation; 2) in bulk nitrides, as in oxide-nitride-oxide (ONO) or oxide-nitride (ON) composite structures, allows the use of physically thicker films without reduced capacitance compared to single-layer oxides; and 3) in nitrided layers at the polycrystalline Si-dielectric interface or in ON dielectrics reduces boron (B) atom out-diffusion from heavily doped p + polycrystalline silicon gate electrodes into oxide gate dielectrics. The results presented in this review demonstrate that N atoms can be selectively and independently incorporated into different parts of the gate dielectric by low-temperatureremote-plasma-assisted processing. When combined with low-thermal-budget rapid thermal annealing, this yields ultrathin gate dielectrics with performance and reliability which generally exceeds that of single-layer thermally grown oxides. The devices addressed in this paper include n-MOS and p-mos field-effect transistors (FETs) with oxide-equivalent thicknesses of less than 2 nm. DA - 1999/5// PY - 1999/5// DO - 10.1147/rd.433.0301 VL - 43 IS - 3 SP - 301-326 SN - 2151-8556 ER - TY - JOUR TI - Thermal residual stress modeling in AlN and GaN multilayer samples AU - Wang, K. AU - Reeber, R. R. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G3.18 ER - TY - JOUR TI - Thermal reactions and micro-structure of TiN-AlN layered nano-composites AU - Godbole, VP AU - Dovidenko, K AU - Sharma, AK AU - Narayan, J T2 - MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY AB - Bilayer and multilayer structures of TiN and AlN thin films were synthesized using pulsed laser deposition technique in a substrate temperature range 300–700°C. The chemical reactions at TiN–AlN interfaces and the formation of different alloy phases were studied using X-ray diffraction (XRD) and transmission electron microscopy (TEM). It was observed that TiN–AlN interface remains sharp and stable for deposition temperatures up to ∼650°C. At higher deposition temperatures, however, substantial chemical reactions were found to occur. The ternary alloy phases such as Ti3Al2N2 and Ti3AlN have been observed, for the first time. The composites synthesized at temperatures lower than 650°C and subsequently annealed at higher temperature were found to exhibit very limited or no interfacial chemical reactions. The effect of layer thickness on the microstructure is also studied. The studies revealed that by controlling the thickness of individual layers and substrate temperatures, it was possible to control microstructure and obtain composite coatings consisting of ternary Ti–Al–N alloy phases. The results are discussed in terms of characteristic features of pulsed laser ablation process in which evaporated flux contains energetic ions, electrons and neutral particles. Preliminary nano-indentation measurements and oxidation measurements reveal that these composites possess desirable mechanical properties at high temperatures. DA - 1999/12/27/ PY - 1999/12/27/ DO - 10.1016/s0921-5107(99)00154-3 VL - 68 IS - 2 SP - 85-90 SN - 0921-5107 KW - thermal reactions KW - TiN-AlN KW - nano-composites ER - TY - JOUR TI - The rate-controlling mechanism(s) during plastic deformation of polycrystalline NaCl at 0.28-0.75 T-M AU - Conrad, H. AU - Yang, D. T2 - Journal of Materials Science DA - 1999/// PY - 1999/// DO - 10.1023/A:1004537300154 VL - 34 IS - 4 SP - 821-826 ER - TY - JOUR TI - The effect of RuO2/Pt hybrid bottom electrode structure on the leakage and fatigue properties of chemical solution derived Pb(ZrxTi1-x)O-3 thin films AU - Kim, SH AU - Hong, JG AU - Streiffer, SK AU - Kingon, AI T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/3// PY - 1999/3// DO - 10.1557/JMR.1999.0135 VL - 14 IS - 3 SP - 1018-1025 SN - 0884-2914 ER - TY - JOUR TI - Size distribution of oxide precipitates in annealed Czochralski silicon AU - Sasaki, T AU - Ono, T AU - Rozgonyi, GA T2 - ELECTROCHEMICAL AND SOLID STATE LETTERS AB - The size distribution of oxide precipitates in Czochralski silicon has been determined using an optical precipitate profiler on two‐ step annealed wafers with and without a high‐temperature dissolution annealing . The dissolution annealing significantly changed oxygen precipitation, probably by changing the size distribution of grown‐in nuclei. For wafers without the dissolution annealing, the size distribution becomes narrower, with a smaller mean size for increasing length of treatment. It is proposed that the size‐dependent nucleus dissolution is due to the removal of point defects from the precipitate/matrix interface or its vicinity. ©1999 The Electrochemical Society DA - 1999/11// PY - 1999/11// DO - 10.1149/1.1390915 VL - 2 IS - 11 SP - 589-591 SN - 1099-0062 ER - TY - JOUR TI - Role of the substrate strain in the sheet resistance stability of NiSi deposited on Si (100) AU - Maillard-Schaller, E AU - Boyanov, BI AU - English, S AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - In order to study the influence of strain on the formation and stability of NiSi, Ni has been deposited on strained and relaxed Si(100) n-type substrates. Strained Si substrates have been produced by depositing a pseudomorphic silicon film onto a 3000 Å thick relaxed Si0.8Ge0.2 film. Raman spectroscopy has established that the silicon film is strained. The presence of a characteristic cross-hatch pattern has been identified by atomic force microscopy. Measurements show that the sheet resistance (Rs) of the silicide formed on strained silicon remains stable up to 700 °C while the Rs of the silicide formed on bulk silicon (100) shows a significant increase at 600 °C . X-ray photoelectron spectroscopy shows that the NiSi–NiSi2 phase transition occurs at a higher temperature and is, therefore, not responsible for the Rs instability. Scanning electron microscopy measurements indicate that islanding occurs in the temperature region of the resistivity increase. Photoelectron emission microscopy has been employed to observe the surface morphology during annealing, and islanding is not observed until a higher annealing temperature for the NiSi on strained Si. The increase in Rs is apparently correlated to the islanding of NiSi which appears at lower temperature on the bulk silicon substrate than on the strained silicon substrate. The stability of the NiSi film on the strained Si substrate is related to the strain induced by thermal expansion and the increased lattice constant of the strained Si. DA - 1999/4/1/ PY - 1999/4/1/ DO - 10.1063/1.369774 VL - 85 IS - 7 SP - 3614-3618 SN - 1089-7550 ER - TY - JOUR TI - Raman analysis of the E1 and A1 quasi-longitudinal optical and quasi-transverse optical modes in wurtzite AlN AU - Bergman, L AU - Dutta, M AU - Balkas, C AU - Davis, RF AU - Christman, JA AU - Alexson, D AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - This article presents a study of the quasi-longitudinal optical and quasi-transverse optical modes in wurtzite AlN which originate from the interaction of phonons belonging to the A1 and E1 symmetry groups. In order to analyze the allowed quasi as well as pure Raman modes, the modes were observed in a rotating crystallographic coordinate system, and the Raman tensors of the wurtzite crystal structure were calculated as a function of the crystallographic rotation. The frequencies of the quasimodes of wurtzite AlN were also analyzed in terms of the interaction of the polar phonons with the long range electrostatic field model. The experimental values of the Raman frequencies of the quasiphonons concur with these expected from the model, implying that the long range electrostatic field dominates the short range forces for polar phonons in AlN. DA - 1999/4/1/ PY - 1999/4/1/ DO - 10.1063/1.369712 VL - 85 IS - 7 SP - 3535-3539 SN - 0021-8979 ER - TY - JOUR TI - Preparation and ferroelectric properties of mixed composition layered lead zirconate titanate thin films for nonvolatile memory applications AU - Kim, SH AU - Kim, DJ AU - Streiffer, SK AU - Kingon, AI T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/6// PY - 1999/6// DO - 10.1557/JMR.1999.0332 VL - 14 IS - 6 SP - 2476-2483 SN - 0884-2914 ER - TY - JOUR TI - Possible evidence for the stabilization of beta-carbon nitride by high-energy ball milling AU - Fahmy, Y AU - Shen, TD AU - Tucker, DA AU - Spontak, RL AU - Koch, CC T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/6// PY - 1999/6// DO - 10.1557/JMR.1999.0334 VL - 14 IS - 6 SP - 2488-2499 SN - 2044-5326 ER - TY - JOUR TI - Plastic deformation kinetics of fine-grained alumina AU - Campbell, J AU - Fahmy, Y AU - Conrad, H T2 - METALLURGICAL AND MATERIALS TRANSACTIONS A-PHYSICAL METALLURGY AND MATERIALS SCIENCE DA - 1999/11// PY - 1999/11// DO - 10.1007/s11661-999-0118-5 VL - 30 IS - 11 SP - 2809-2816 SN - 1543-1940 ER - TY - JOUR TI - Photon-induced localization in optically absorbing materials AU - Mantese, L AU - Bell, KA AU - Aspnes, DE AU - Rossow, U T2 - PHYSICS LETTERS A AB - We show that components of surface- and interface-related optical spectra that are related to derivatives of their bulk dielectric functions are due to a dynamic photon-induced localization of the initial and final states. Localization is described by correlation effects that arise from the finite penetration depth of light in optically absorbing materials, and lead to a substantially different perspective of optical absorption than that given by conventional theory. DA - 1999/3/15/ PY - 1999/3/15/ DO - 10.1016/S0375-9601(98)00953-0 VL - 253 IS - 1-2 SP - 93-97 SN - 0375-9601 KW - localization KW - surface optical absorption ER - TY - JOUR TI - Optical and structural properties of epitaxial MgxZn1-xO alloys AU - Sharma, AK AU - Narayan, J AU - Muth, JF AU - Teng, CW AU - Jin, C AU - Kvit, A AU - Kolbas, RM AU - Holland, OW T2 - APPLIED PHYSICS LETTERS AB - The optical and structural properties of high-quality single-crystal epitaxial MgZnO films deposited by pulsed-laser deposition were studied. In films with up to ∼36 at. % Mg incorporation, we have observed intense ultraviolet band edge photoluminescence at room temperature and 77 K. The highly efficient photoluminescence is indicative of the excitonic nature of the material. Transmission spectroscopy was used to show that the excitonic structure of the alloys was clearly visible at room temperature. High-resolution transmission electron microscopy, x-ray diffraction, and Rutherford backscattering spectroscopy/ion channeling were used to verify the epitaxial single-crystal quality of the films and characterize the defect content. Post-deposition annealing in oxygen was found to reduce the number of defects and to improve the optical properties of the films. These results indicate that MgZnO alloys have potential applications in a variety of optoelectronic devices. DA - 1999/11/22/ PY - 1999/11/22/ DO - 10.1063/1.125340 VL - 75 IS - 21 SP - 3327-3329 SN - 1077-3118 ER - TY - JOUR TI - Morphology and rheology of SIS and SEPS triblock copolymers in the presence of a midblock-selective solvent AU - Laurer, JH AU - Khan, SA AU - Spontak, RJ AU - Satkowski, MM AU - Grothaus, JT AU - Smith, SD AU - Lin, JS T2 - LANGMUIR AB - While numerous fundamental studies have sought to elucidate the effect of a parent homopolymer on the morphological characteristics and mechanical properties of microphase-ordered block copolymer blends, few comparable efforts have extended such studies to concentrated copolymer solutions in the presence of a low-molar-mass block-selective solvent. In this work, we investigate the microstructures that form in blends of a poly(styrene-block-isoprene-block-styrene) (SIS) triblock copolymer with a midblock-selective aliphatic mineral oil. To discern the influence of midblock/oil compatibility on blend morphology and properties, identical blends with a poly[styrene-block-(ethylene-alt-propylene)-block-styrene] (SEPS) copolymer, the hydrogenated variant of the SIS copolymer, have likewise been examined. The saturated midblock of the SEPS copolymer is responsible for the observed shifts in morphology stability limits and higher dynamic elastic shear moduli relative to the SIS analogue. These results reveal that the morphologies and properties of such triblock copolymer/oil blends are sensitive to the chemical/statistical nature of the copolymer midblock and may be judiciously tailored to satisfy application-specific requirements. DA - 1999/11/9/ PY - 1999/11/9/ DO - 10.1021/la981441n VL - 15 IS - 23 SP - 7947-7955 SN - 0743-7463 ER - TY - JOUR TI - Microstructure evolution accompanying high temperature; uniaxial tensile creep of self-reinforced silicon nitride ceramics AU - Wei, Q AU - Sankar, J AU - Kelkar, AD AU - Narayan, J T2 - MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING AB - Extensive transmission electron microscopy (TEM) has been performed to study the microstructure evolution of a self-reinforced silicon nitride associated with high temperature creep. A large population of strain whorls is observed in samples crept at relatively high temperatures and the strain whorls are not necessarily asymmetrical with respect to the grain boundary normal. Large angle convergent beam electron diffraction (LACBED) at the grain boundaries where strain whorl contrast is visible reveals severely curved Bragg lines, implying large residual strains. This indicates that grain boundary interlocking might be effective to enhance the creep resistance at high temperatures. Dislocation pile-ups, arrays and tangles are present in certain silicon nitride grains. However, a simple analysis rules out dislocations as the major creep mechanism. Most dislocations started from grain boundaries. The role of dislocations is to relieve the stress concentrations at the strain whorls. This adds to the diffusion mechanism of stress relaxation at the strain whorls and facilitates other creep mechanisms such as grain boundary sliding. A large density of multiple-junction cavities is observed in the samples crept at relatively high temperatures. It is proposed that grain boundary sliding and cavity formation, in addition to stress relaxation through nucleation of dislocations at the strain whorls act together to produce a much shorter life to failure at high temperatures. While at lower temperatures, the creep is more diffusion controlled which gives a stress exponent of unity. DA - 1999/11/30/ PY - 1999/11/30/ DO - 10.1016/s0921-5093(99)00497-9 VL - 272 IS - 2 SP - 380-388 SN - 0921-5093 KW - silicon nitride KW - ceramics KW - self-reinforced KW - creep KW - microstructure KW - dislocations ER - TY - JOUR TI - Low-energy electron microscopy observations of GaN homoepitaxy using a supersonic jet source AU - Pavlovska, A AU - Torres, VM AU - Bauer, E AU - Doak, RB AU - Tsong, IST AU - Thomson, DB AU - Davis, RF T2 - APPLIED PHYSICS LETTERS AB - A study of the homoepitaxial growth of GaN(0001) layers was conducted in situ and in real time using the low-energy electron microscope. The Ga flux was supplied by an evaporative cell while the NH3 flux was supplied via a seeded-beam supersonic jet source. At growth temperatures of 665 °C and 677 °C, smooth GaN(0001) layers with well-defined step structures were grown on GaN(0001) substrates prepared by metalorganic chemical vapor deposition. In general, nonfaceted homoepitaxial layers were achieved when the Ga/NH3 flux ratios exceeded 2, starting with a Ga-covered substrate surface, in the temperature range of 655–710 °C. DA - 1999/8/16/ PY - 1999/8/16/ DO - 10.1063/1.124575 VL - 75 IS - 7 SP - 989-991 SN - 0003-6951 ER - TY - JOUR TI - Lateral gettering of Fe on bulk and silicon-on-insulator wafers AU - Beaman, KL AU - Kononchuk, O AU - Koveshnikov, S AU - Osburn, CM AU - Rozgonyi, GA T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Laterally displaced gettering sites have been studied as an alternative to traditional internal gettering and back‐side gettering sites. Fe was diffused laterally and captured, first by coulombic pairing with B in p‐type Si, and then by strategically placed ion implantation induced dislocation loops. This localization of Fe was tracked by both deep level transient spectroscopy and capacitance‐voltage measurements. As proof of the viability of the gettering technique, laterally displaced gettering sites were formed adjacent to capacitors on various silicon‐on‐insulator (SOI) substrate types. Both implantation induced dislocation loops and P diffusion were used for gettering. An improvement in gate oxide integrity was observed for capacitors with lateral gettering on all SOI types studied. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/5// PY - 1999/5// DO - 10.1149/1.1391867 VL - 146 IS - 5 SP - 1925-1928 SN - 1945-7111 ER - TY - JOUR TI - Influence of microstructure size on the plastic deformation kinetics, fatigue crack growth rate, and low-cycle fatigue of solder joints AU - Conrad, H AU - Guo, Z AU - Fahmy, Y AU - Yang, D T2 - JOURNAL OF ELECTRONIC MATERIALS DA - 1999/9// PY - 1999/9// DO - 10.1007/s11664-999-0184-x VL - 28 IS - 9 SP - 1062-1070 SN - 0361-5235 KW - solder joints KW - low cycle fatigue KW - microstructure KW - deformation kinetics ER - TY - JOUR TI - Influence of an electric field on the plastic deformation of fine-grained Al2O3 AU - Campbell, J AU - Fahmy, Y AU - Conrad, H T2 - METALLURGICAL AND MATERIALS TRANSACTIONS A-PHYSICAL METALLURGY AND MATERIALS SCIENCE DA - 1999/11// PY - 1999/11// DO - 10.1007/s11661-999-0119-4 VL - 30 IS - 11 SP - 2817-2823 SN - 1073-5623 ER - TY - JOUR TI - Imprint and fatigue properties of chemical solution derived Pb1-xLax(ZryTi1-y)(1-x/4)O-3 thin films AU - Kim, SH AU - Kim, DJ AU - Hong, J AU - Streiffer, SK AU - Kingon, AI T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/4// PY - 1999/4// DO - 10.1557/JMR.1999.0187 VL - 14 IS - 4 SP - 1371-1377 SN - 2044-5326 ER - TY - JOUR TI - High-temperature wear of cemented tungsten carbide tools while machining particleboard and fiberboard AU - Sheikh-Ahmad, JY AU - Bailey, JA T2 - JOURNAL OF WOOD SCIENCE AB - Published research on the wear processes of cemented tungsten carbide tools used for machining reconstituted wood products was reviewed, and the current state of knowledge in this area was evaluated. Underlying assumptions and conclusions regarding high-temperature oxidation/corrosion wear during machining were examined in view of known reaction kinetics of cemented tungsten carbide alloys in oxidative and corrosive environments at temperatures that may occur at the cutting edge. This examination indicated that some wear mechanisms other than high-temperature oxidation/corrosion are likely to be rate-controlling when machining reconstituted wood products such as particleboard and fiberboard. DA - 1999/// PY - 1999/// DO - 10.1007/BF00538952 VL - 45 IS - 6 SP - 445-455 SN - 1611-4663 KW - cemented tungsten carbide KW - machining KW - wear mechanism KW - particleboard KW - fiberboard ER - TY - JOUR TI - High-resolution spectroscopy with reciprocal-space analysis AU - Aspnes, DE AU - Yoo, SD T2 - PHYSICA STATUS SOLIDI B-BASIC RESEARCH AB - Optical spectra can be analyzed more accurately for critical point energies and other parameters in reciprocal space than in direct space because baseline effects, information, and noise are effectively isolated in the low-, medium-, and high-index Fourier coefficients, respectively. However, the requirement that the spectral segment being analyzed is periodic usually gives rise to large contributions to the coefficients from the value and slope discontinuities at the wrapped ends of the segment. Previously, the effect of these discontinuities was reduced with false data in direct space. Here, we show that these artifacts can be removed more effectively in reciprocal space, and that the process is optimized when the number of data points in the segment equals the number of points in the transformation, i.e., when no false data are required. Our approach reduces reciprocal-space analysis of optical spectra to a routine process, as demonstrated by application to crystalline Si. DA - 1999/9// PY - 1999/9// DO - 10.1002/(sici)1521-3951(199909)215:1<715::aid-pssb715>3.0.co;2-g VL - 215 IS - 1 SP - 715-723 SN - 0370-1972 ER - TY - JOUR TI - High-energy cryogenic blending and compatibilizing of immiscible polymers AU - Smith, AP AU - Spontak, RJ AU - Ade, H AU - Smith, SD AU - Koch, CC T2 - ADVANCED MATERIALS AB - Low-temperature mechanical alloying of immiscible polymers is investigated as a viable solid-state alternative to blending using melt or solution processes. The Figure is a schematic diagram of the alloying—or high-energy ball-milling—process, indicating that reactive chain coupling may occur in the event of free-radical generation due to chain scission. DA - 1999/10/20/ PY - 1999/10/20/ DO - 10.1002/(SICI)1521-4095(199910)11:15<1277::AID-ADMA1277>3.0.CO;2-9 VL - 11 IS - 15 SP - 1277-+ SN - 1521-4095 ER - TY - PAT TI - High surface area molybdenum nitride electrodes AU - Roberson, S. L. AU - Finello, D. AU - Davis, R. F. C2 - 1999/// DA - 1999/// PY - 1999/// ER - TY - JOUR TI - High optical quality AlInGaN by metalorganic chemical vapor deposition AU - Aumer, ME AU - LeBoeuf, SF AU - McIntosh, FG AU - Bedair, SM T2 - APPLIED PHYSICS LETTERS AB - We report on the metalorganic chemical vapor deposition of the quaternary alloy AlInGaN. We found it desirable to grow quaternary films at temperatures greater than 855 °C in order to suppress deep level emissions in the room-temperature photoluminescence. Details of the conditions necessary to grow In0.1Ga0.9N at 875 °C are presented. Strained and relaxed AlInGaN films were grown with good optical and structural properties for AlN compositions up to 26% and InN content up to 11%. The effects of strain were observed by a difference in the band gap between thin and thick films with the same compositions. The potential impact of the use of quaternary films is discussed regarding strain engineering for the improvement of present device designs. DA - 1999/11/22/ PY - 1999/11/22/ DO - 10.1063/1.125336 VL - 75 IS - 21 SP - 3315-3317 SN - 0003-6951 ER - TY - JOUR TI - Germanium segregation in the Co/SiGe/Si(001) thin film system AU - Goeller, PT AU - Boyanov, BI AU - Sayers, DE AU - Nemanich, RJ AU - Myers, AF AU - Steel, EB T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/11// PY - 1999/11// DO - 10.1557/JMR.1999.0592 VL - 14 IS - 11 SP - 4372-4384 SN - 0884-2914 ER - TY - JOUR TI - Field emission properties of nitrogen-doped diamond films AU - Sowers, AT AU - Ward, BL AU - English, SL AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - This study explores the field emission properties of nitrogen-doped diamond grown by microwave plasma chemical vapor deposition. Over 70 nitrogen-doped diamond samples were grown on silicon and molybdenum under varying process conditions. Under certain conditions, films can be grown which exhibit photoluminescence bands at 1.945 and 2.154 eV that are attributed to single substitutional nitrogen. Photoelectron emission microscopy with UV free electron laser excitation indicated a 0 or negative electron affinity. Field emission characteristics were measured in an ultrahigh vacuum with a variable distance anode technique. For samples grown with gas phase [N]/[C] ratios less than 10, damage from microarcs occurred during the field emission measurements. Samples grown at higher [N]/[C] content could be measured prior to an arcing event. Contrary to other reports on nitrogen-doped diamond, these measurements indicate relatively high threshold fields (&gt;100 V/μm) for electron emission. We suggest that the nitrogen in these films is compensated by defects. A defect-enhanced electron emission model from these films is discussed. DA - 1999/10/1/ PY - 1999/10/1/ DO - 10.1063/1.371316 VL - 86 IS - 7 SP - 3973-3982 SN - 1089-7550 ER - TY - JOUR TI - Ferroelectricity in thin films: The dielectric response of fiber-textured (BaxSr1-x)Ti1+yO3+z thin films grown by chemical vapor deposition AU - Streiffer, SK AU - Basceri, C AU - Parker, CB AU - Lash, SE AU - Kingon, AI T2 - JOURNAL OF APPLIED PHYSICS AB - We have investigated the dielectric response of a series of {100} fiber-textured (BaxSr1−x)Ti1+yO3+z samples deposited by liquid-source metalorganic chemical vapor deposition onto Pt/SiO2/Si, as a function of the two most commonly varied microstructural parameters: film thickness and Ti nonstoichiometry y. We find that the overall behavior of these samples is adequately described by mean-field, Landau–Ginzburg–Devonshire theory as for bulk ferroelectrics. However, we quantify the impact of three separable factors for these films that greatly alter the dielectric susceptibility as a function of temperature, compared to that found for bulk ceramic samples at the same Ba/Sr ratio of 70/30: (i) Ti nonstoichiometry; (ii) the apparent interface effect; and (iii) the plane equibiaxial stress state resulting from thermal expansion mismatch strains. When these factors are properly taken into consideration, we show that these fine grained thin films behave in a manner entirely consistent with expectations based on bulk behavior. Implications can therefore be drawn concerning the nature of size effects in this ferroelectric system. DA - 1999/10/15/ PY - 1999/10/15/ DO - 10.1063/1.371404 VL - 86 IS - 8 SP - 4565-4575 SN - 1089-7550 ER - TY - JOUR TI - Experimental study of the yield stress of electrorheological suspensions under AC field: Comparison with a theoretical model AU - Boissy, C AU - Wu, CW AU - Fahmy, Y AU - Conrad, H T2 - INTERNATIONAL JOURNAL OF MODERN PHYSICS B AB - Recently a model describing the interaction between the particles of an electrorheological suspension and the resulting properties (yield stress, current density) has been proposed by Wu and Conrad. This model takes into account both the conductivity and the permittivity of each constituent of the suspension and predicts the behavior under DC and AC fields. The goal of the present work is to compare the predictions of this model with data available in the literature and with additional experiments using DC and AC fields at frequencies up to 2000 Hz. The ER fluids used in our experiments are suspensions of different ceramics particles ( Al 2 O 3 , ZrO 2 , TiO 2 , CaTiO 3 , BaTiO 3 ) in silicone oil. These particles cover a wide range of permittivity and conductivity, which allows us to study the ER effect with varying values of the conductivity and/or permittivity mismatch between the particles and the liquid. The model is in reasonable accord with experiment. DA - 1999/6/30/ PY - 1999/6/30/ DO - 10.1142/s0217979299001788 VL - 13 IS - 14-16 SP - 1775-1782 SN - 0217-9792 ER - TY - JOUR TI - Evidence for localized Si-donor state and its DX-like properties in AlGaN: Errata (vol 74, pg 3833, 1999) AU - Skierbiszewski, C. AU - Suski, T. AU - Leszczynski, M. AU - Shin, M. AU - Skowronski, M. AU - Bremser, M. D. AU - Davis, R. F. T2 - Applied Physics Letters DA - 1999/// PY - 1999/// VL - 75 IS - 20 SP - 3225A ER - TY - JOUR TI - Evaluating the effect of oxygen content in BN interfacial coatings on the stability of SiC/BN/SiC composites AU - More, KL AU - Ailey, KS AU - Lowden, RA AU - Lin, HT T2 - COMPOSITES PART A-APPLIED SCIENCE AND MANUFACTURING AB - Boron nitride was studied as a fiber–matrix interface coating for Nicalon™/SiC composites. The effect of initial O-impurity content within the as-processed BN coatings on the long-term interface stability was investigated at elevated temperatures in flowing oxygen. Two types of Nicalon™/SiC composites were used for this study; one composite had a BN coating with <2% oxygen (low-O BN) and another composite had BN with an oxygen concentration >11% (high-O BN) in the as-processed state. The high-O BN is actually most representative of BN coatings available commercially. The BN coatings in both the high-O and low-O BN containing composites were structurally similar. The samples used here were thinned to <200 μm before oxidation and the final preparation for electron microscopy examination of the interface region was done after the reactions were completed. Thin samples were used to simulate maximum corrosion effects that would occur at the surface of an actual part during service. Ech sample was exposed to flowing oxygen at temperatures as high as 950°C for times up to 400 h. After each oxidation experiment, the BN coatings were examined by TEM to quantify the extent of any reaction which occurred at either the fiber/BN and BN/SiC matrix interfaces. At 950°C for 100 h, there were no interface microstructural changes observed in the low-O BN but there was extensive silica formation at the fiber/BN interfaces in the high-O BN. After 400 h at 950°C, large voids formed at the fiber/BN interface in the high-O BN sample only. Oxygen present within the initial BN coating contributed significantly to the degradation of the interfacial properties of the composite. Several techniques, including transmission electron microscopy (TEM), Auger electron spectroscopy (AES), energy-dispersive spectrometry (EDS), and electron energy-loss spectroscopy (EELS) were used to characterize changes in structure and chemistry of the fiber–matrix interface region and to elucidate and quantify composite degradation mechanisms. DA - 1999/// PY - 1999/// DO - 10.1016/S1359-835X(98)00135-3 VL - 30 IS - 4 SP - 463-470 SN - 1359-835X KW - ceramic matrix composites (CMCs) KW - BN KW - oxidation KW - characterization ER - TY - JOUR TI - Estimating oxide thickness of tunnel oxides down to 1.4 nm using conventional capacitance-voltage measurements on MOS capacitors AU - Henson, WK AU - Ahmed, KZ AU - Vogel, EM AU - Hauser, , JR AU - Wortman, JJ AU - Venables, RD AU - Xu, M AU - Venables, D T2 - IEEE ELECTRON DEVICE LETTERS AB - High-frequency capacitance-voltage (C-V) measurements have been made on ultrathin oxide metal-oxide-semiconductor (MOS) capacitors. The sensitivity of extracted oxide thickness to series resistance and gate leakage is demonstrated. Guidelines are outlined for reliable and accurate estimation of oxide thickness from C-V measurements for oxides down to 1.4 nm. DA - 1999/4// PY - 1999/4// DO - 10.1109/55.753759 VL - 20 IS - 4 SP - 179-181 SN - 0741-3106 ER - TY - JOUR TI - Electrorheology of suspensions of si particles with an oxide film in silicone oil AU - Wu, CW AU - Conrad, H T2 - INTERNATIONAL JOURNAL OF MODERN PHYSICS B AB - The electrorheological (ER) behavior of suspensions of oxidized Si partices in silicone oil was determined with dc electric field E 0 . The yield stress decreased with the thickness of the surface oxide film and was proportional to E 0 1.7 when the surface film thickness δ=0.2 μ m . The current density and the field dependent exponent decreased with the surface film thickness. When the surface film thickness δ=0.05μ m , the current density was proportional to E0 0 1.3 . The results are in accord with our recent non-ohmic conduction model for the influence of an oxide film on conducting particles on ER response. The ER response of highly conducting particles with a low conductivity coating with ac field is also discussed. DA - 1999/6/30/ PY - 1999/6/30/ DO - 10.1142/s0217979299001715 VL - 13 IS - 14-16 SP - 1713-1720 SN - 0217-9792 ER - TY - JOUR TI - Dislocation structure of low-angle grain boundaries in YBa2Cu3O7-delta/MgO films AU - Oktyabrsky, S AU - Kalyanaraman, R AU - Jagannadham, K AU - Narayan, J T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/7// PY - 1999/7// DO - 10.1557/JMR.1999.0369 VL - 14 IS - 7 SP - 2764-2772 SN - 0884-2914 UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0032678410&partnerID=MN8TOARS ER - TY - JOUR TI - Conductivity in electrorheology AU - Conrad, H AU - Wu, CW AU - Tang, X T2 - INTERNATIONAL JOURNAL OF MODERN PHYSICS B AB - Analytical models for the quasi-static ER behavior of suspensions have been developed which take into consideration both the conductivity and dielectric mismatches between the components and the supra-ohmic behavior of the host liquid. Included are models for: (a) slightly conducting particles, (b) insulating particles with a conducting film and (c) highly conducting particles with an insulating film. Both dc and ac fields are treated. Good agreement between predicted and experimental results occur. The models indicate that promising ER suspensions for use over a wide frequency range are those which contain highly conducting particles coated with an "insulating" film which has a hihg dielectric constant and a high electrical breakdown strength. It is conceivable that with proper desigh a yield strength of 20–100 kPa may be obtained with an acceptable current density. DA - 1999/6/30/ PY - 1999/6/30/ DO - 10.1142/s0217979299001739 VL - 13 IS - 14-16 SP - 1729-1738 SN - 0217-9792 ER - TY - JOUR TI - Comment on "Ab initio calculation of excitonic effects in the optical spectra of semiconductors" AU - Cardona, M AU - Lastras-Martinez, LF AU - Aspnes, DE T2 - PHYSICAL REVIEW LETTERS AB - A Comment on the Letter by Stefan Albrecht, Lucia Reining, Rodolfo Del Sole, and Giovanni Onida, Phys. Rev. Lett. 80, 4510 (1998). The authors of the Letter offer a Reply.Received 30 April 1999DOI:https://doi.org/10.1103/PhysRevLett.83.3970©1999 American Physical Society DA - 1999/11/8/ PY - 1999/11/8/ DO - 10.1103/PhysRevLett.83.3970 VL - 83 IS - 19 SP - 3970-3970 SN - 1079-7114 ER - TY - JOUR TI - Cobalt nanoparticle formation in the pores of hyper-cross-linked polystyrene: Control of nanoparticle growth and morphology AU - Sidorov, SN AU - Bronstein, LM AU - Davankov, VA AU - Tsyurupa, MP AU - Solodovnikov, SP AU - Valetsky, PM AU - Wilder, EA AU - Spontak, RJ T2 - CHEMISTRY OF MATERIALS AB - Impregnation of hyper-cross-linked polystyrene (HPS) by either Co2(CO)8 in 2-propanol or the [Co(DMF)6]2+[Co(CO)4]-2 complex in dimethylformamide (DMF), followed by thermolysis at 200 °C, results in the formation of discrete Co nanoparticles. The concentration and characteristics of such nanoparticles were investigated by X-ray fluorescence (XRF) spectroscopy, ferromagnetic resonance (FMR) spectroscopy, and transmission electron microscopy (TEM). The FMR data here confirm the formation of spherical nanoparticles. At relatively low concentrations of Co, the magnitude of the FMR line width reveals that the mean Co nanoparticle diameter is about 2 nm, which agrees closely with the mean particle diameter discerned by TEM. An increase in Co content higher than 8 wt % is accompanied by an increase in mean particle diameter due to an increase in the population of large Co nanoparticles up to 15 nm across. Regulated nanoparticle growth over a wide range of Co concentrations is attributed to nanoscale HPS cavities, which serve to physically restrict the size of growing particles. DA - 1999/11// PY - 1999/11// DO - 10.1021/cm990274p VL - 11 IS - 11 SP - 3210-3215 SN - 0897-4756 ER - TY - JOUR TI - Analysis of capacitor breakdown mechanisms due to crystal-originated pits AU - Ono, T AU - Rozgonyi, G AU - Horie, H AU - Miyazaki, M AU - Tsuya, H T2 - IEEE ELECTRON DEVICE LETTERS AB - The extent to which crystal originated defect pits (COPs) will enhance capacitor B-mode failures has been examined as a function of substrate carrier type/dopant concentration and bias mode (accumulation/inversion). An unexpected immunity to COPs, found for n-type capacitors biased into accumulation, could be readily explained by invoking Fowler-Nordheim (FN) tunneling variations due to the morphology of the capacitor electrodes, as revealed by cross-sectional TEM on simulated COPs formed by KOH anisotropic etching. DA - 1999/10// PY - 1999/10// DO - 10.1109/55.791924 VL - 20 IS - 10 SP - 504-506 SN - 0741-3106 KW - B mode failures KW - MOS yield KW - substrate defects ER - TY - JOUR TI - An optimized process for fabrication of SrBi2Ta2O9 thin films using a novel chemical solution deposition technique AU - Kim, SH AU - Kim, DJ AU - Lee, KM AU - Park, M AU - Kingon, AI AU - Nemanich, RJ AU - Im, J AU - Streiffer, SK T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/11// PY - 1999/11// DO - 10.1557/JMR.1999.0594 VL - 14 IS - 11 SP - 4395-4401 SN - 0884-2914 ER - TY - JOUR TI - Thermochemical stability of silicon-oxygen-carbon alloy thin films: A model system for chemical and structural relaxation at SiC-SiO2 interfaces AU - Wolfe, DM AU - Hinds, BJ AU - Wang, F AU - Lucovsky, G AU - Ward, BL AU - Xu, M AU - Nemanich, RJ AU - Maher, DM T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS AB - Alloy thin films of hydrogenated silicon–oxygen–carbon (Si,C)Ox x&lt;2, were deposited and analyzed in terms of changes in structure and bonding as a function of rapid thermal annealing between 600 and 1100 °C using a combination of Fourier transform infrared spectroscopy, Raman scattering and high-resolution transmission electron microscopy. Results showed that three structural/chemical transformations took place upon annealing. The initial reaction (600–800 °C) involved the loss of hydrogen bonded to both silicon and carbon. At intermediate temperatures (900–1000 °C) a Si–O–C type bond was observed to form, and subsequently disappear after annealing to 1050 °C. The formation of ordered amorphous-SiC regions, nanocrystalline-Si regions, and stoichiometric, thermally relaxed SiO2 accompanied the disappearance of the Si–O–C bond at the 1050 °C annealing temperature. Using this alloy as a model system, important information is obtained for optimized processing of SiC–SiO2 interfaces for device applications. DA - 1999/// PY - 1999/// DO - 10.1116/1.581745 VL - 17 IS - 4 SP - 2170-2177 SN - 0734-2101 ER - TY - JOUR TI - The wear characteristics of some cemented tungsten carbides in machining particleboard AU - Sheikh-Ahmad, JY AU - Bailey, JA T2 - WEAR AB - This work is focused on developing an understanding of the wear mechanisms of cemented tungsten carbide tools in machining particleboard. Cutting experiments were conducted on several grades of cemented tungsten carbide tools using a high speed lathe, and their wear characteristics were determined. It was found that wear occurred predominantly on the clearance face of the tools for most grades tested. It was also found that the amount of wear after the same cutting distance correlates well with the bulk hardness of the tool material. The amount of wear generally decreased with an increase in hardness, a decrease in grain size and a decrease in binder content of the cutting tool material. Examination of the worn surfaces inside a scanning electron microscope showed that the cutting edge was worn by preferential removal of the metal binder phase from between the tungsten carbide grains. It is suggested that removal of the binder weakens the bond between the tungsten carbide grains leading to their mechanical removal from the clearance face. It is concluded that the main wear mechanism for cemented tungsten carbide tools in machining particleboard is the removal of the binder phase by plastic flow and micro-abrasion, which is followed by fragmentation and dislodging of the WC grains. DA - 1999/4// PY - 1999/4// DO - 10.1016/s0043-1648(98)00361-5 VL - 225 SP - 256-266 SN - 1873-2577 KW - tungsten carbide KW - wear KW - particleboard ER - TY - JOUR TI - Room temperature growth of cubic boron nitride AU - Feldermann, H AU - Merk, R AU - Hofsass, H AU - Ronning, C AU - Zheleva, T T2 - APPLIED PHYSICS LETTERS AB - Boron nitride thin films were deposited at room temperature with various ion energies by mass selected ion beam deposition on cubic boron nitride (c-BN) previously nucleated on Si (100) substrates at a higher temperature. Selective area diffraction, electron energy loss, and infrared spectroscopy results reveal continued growth of the cubic phase. The reported temperature threshold of about 150 °C for c-BN film formation is therefore unmistakably related to the initial nucleation of c-BN, whereas the growth of c-BN appears to be temperature independent. The latter is in accordance with predictions of the cylindrical thermal spike growth model recently proposed by our group. DA - 1999/3/15/ PY - 1999/3/15/ DO - 10.1063/1.123613 VL - 74 IS - 11 SP - 1552-1554 SN - 1077-3118 ER - TY - PCOMM TI - Pendeo-epitaxy: A new approach for lateral growth of gallium nitride films AU - Zheleva, T. S. AU - Smith, S. A. AU - Thomson, D. B. AU - Linthicum, K. J. AU - Rajagopal, P. AU - Davis, R. F. DA - 1999/// PY - 1999/// SP - L5-8 ER - TY - JOUR TI - P-chlorostyrene AU - Laurer, J. H. AU - Spontak, R. J. T2 - Polymer data handbook CN - TA455.P58 P675 1999 DA - 1999/// PY - 1999/// SP - 380-386 ER - TY - JOUR TI - Morphology and property development in block copolymer mesogels AU - King, M. R. AU - White, S. A. AU - Smith, S. D. AU - Spontak, R.J. T2 - Langmuir DA - 1999/// PY - 1999/// VL - 15 IS - 1999 SP - 7886- ER - TY - JOUR TI - Initial stages of heteroepitaxy of GaP on selected silicon surfaces AU - Sukidi, N AU - Bachmann, KJ AU - Narayanan, V AU - Mahajan, S T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - In this paper we report recent results regarding the nucleation and growth of GaP on Si(001), (111), and (113) surfaces under the conditions of pulsed chemical beam epitaxy using tertiary butylphosphine and triethylgallium as source vapors. The kinetics of nucleation and island growth were monitored in real‐time by p‐polarized reflectance (PR) and laser light scattering measurements. These measurements were supplemented by ex situ atomic force microscopy and high‐resolution transmission electron microscopy studies. We report on the observation of an incubation time, , which strongly depends on surface orientation with and, for a given surface orientation and source vapor flux, decreases with increasing substrate temperature. At time autocatalytic steps observed in the PR signal are correlated to nucleation and overgrowth of GaP nuclei. In addition, PR reveals changes during GaP growth which result in the deterioration of the previously formed perfect GaP islands that are in registry with the underlying silicon lattice. The change in growth mode may be associated with the formation of stacking faults on faceted GaP islands. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/3// PY - 1999/3// DO - 10.1149/1.1391736 VL - 146 IS - 3 SP - 1147-1150 SN - 0013-4651 ER - TY - JOUR TI - Effects of oxygen on selective silicon deposition using disilane AU - PA O'Neil, AU - Ozturk, MC AU - Batchelor, AD AU - Maher, DM T2 - MATERIALS LETTERS AB - Using Si2H6 in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor, we have investigated the role of high levels of oxygen (>5×10−6 Torr) introduced during selective silicon deposition. The effects of oxygen have been investigated with regard to oxygen incorporation, selectivity with respect to thermal SiO2, growth rate, and epitaxial quality. The addition of oxygen was found to enhance the inherent process selectivity of Si2H6 to SiO2 while causing no reduction in the silicon growth rate or measurable oxygen incorporation into the growing film for oxygen pressures below 5×10−5 Torr. Contrary to published reports, the silicon film was devoid of the pyramidal defects usually characteristic to highly oxygenated processes. The silicon surface morphology, however, exhibited increased roughness with increasing oxygen partial pressure. The surface roughness is believed to be a result of the high levels of oxygen adsorbed at the initial growth surface. DA - 1999/3// PY - 1999/3// DO - 10.1016/S0167-577X(98)00200-6 VL - 38 IS - 6 SP - 418-422 SN - 0167-577X KW - silicon KW - CVD KW - disilane KW - selective silicon deposition ER - TY - JOUR TI - Dielectric function of Cd0.57Mg0.43Te alloy film studied by ellipsometry AU - Kim, T. J. AU - Kim, Y. D. AU - Yoo, S. D. AU - Aspnes, D. E. AU - Kossut, J. T2 - Journal of the Korean Physical Society DA - 1999/// PY - 1999/// VL - 34 IS - 1999 June SP - S496-498 ER - TY - JOUR TI - Confined phonon and phonon-mode properties of III-V nitrides with wurtzite crystal structure AU - Alexson, D AU - Bergman, L AU - Dutta, M AU - Kim, KW AU - Komirenko, S AU - Nemanich, RJ AU - Lee, BC AU - Stroscio, MA AU - Yu, SG T2 - PHYSICA B AB - Stimulated by the recent interest in the use of nitride-based III–V wurtzite structures for optoelectronic and electronic devices, this paper reports on the application of the Loudon model for uniaxial crystals to derive the Fröhlich interaction Hamiltonian as well as the electron–optical-phonon scattering rate in wurtzite crystals. This paper also presents experimental analyses of the mode behavior of phonons in wurtzite crystals. DA - 1999/3// PY - 1999/3// DO - 10.1016/s0921-4526(98)01423-9 VL - 263 SP - 510-513 SN - 0921-4526 KW - phonons in wurtzite structures KW - Loudon model KW - nitride-based III-V structures ER - TY - JOUR TI - Characterization of silica-supported Pd-Au clusters by X-ray absorption spectroscopy AU - Reifsnyder, SN AU - Lamb, HH T2 - JOURNAL OF PHYSICAL CHEMISTRY B AB - Silica-supported Pd−Au clusters were characterized by Pd K and Au L3 extended X-ray absorption fine structure (EXAFS) spectroscopy and Au L2,3 X-ray absorption near-edge structure (XANES) spectroscopy. Pd−Au/SiO2 catalysts with Pd/Au atomic ratios of approximately 1:1 were prepared by coadsorption of [Pd(NH3)4][NO3]2 and [Au(en)2]Cl3 (en = ethylenediamine) onto silica gel from pH 7 and pH 10 slurries. EXAFS spectroscopy of the Pd−Au/SiO2 (1.3:1, pH 7) catalyst after in situ reduction at 300 °C indicates the formation of bimetallic clusters exhibiting Pd surface enrichment. The EXAFS results can be approximated using a cluster “decoration” model in which a Au-rich core is covered by a partial monolayer of Pd. In contrast, EXAFS spectroscopy of the Pd−Au/SiO2 (1:1, pH 10) catalyst after in situ reduction at 350 °C indicates the formation of homogeneous Pd−Au alloy clusters. The homonuclear bond distances in these clusters agree closely with the Vegard's Law prediction for a 1:1 Pd−Au alloy; however, the heteronuclear bond distances are ∼0.02 Å shorter. Au L2,3 XANES spectroscopy of the supported Pd−Au clusters evidences a vanishingly small density of unoccupied Au 5d states. Excellent correspondence is observed between the XANES spectra of supported Pd−Au clusters and those of Pd−Au alloy films having similar compositions. DA - 1999/1/14/ PY - 1999/1/14/ DO - 10.1021/jp982893b VL - 103 IS - 2 SP - 321-329 SN - 1089-5647 ER - TY - JOUR TI - Characterization and production metrology of thin transistor gate oxide films AU - Diebold, AC AU - Venables, D AU - Chabal, Y AU - Muller, D AU - Weldon, M AU - Garfunkel, E T2 - MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING AB - The thickness of silicon dioxide that is used as the transistor gate dielectric in most advanced memory and logic applications has decreased below 7 nm. Unfortunately, the accuracy and reproducibility of metrology used to measure gate dielectric thickness during manufacture of integrated circuits remains in some dispute. In addition, detailed materials characterization studies have resulted in a variety of descriptions for the oxide-interface–substrate system. Part of the problem is that each method measures a different quantity. Another related issue concerns how one should define and model the critical dielectric/substrate interface. As scaling continues, the interface between silicon dioxide and silicon becomes a larger part of the total thickness of the oxide film. Although materials characterization studies have focused on this interface, there have been few attempts to compare the results of these methods based on an understanding of the models used to interpret the data. In this review, we describe the physical and electrical characterization of the interfacial layer. Infrared absorption data are reviewed and previous interpretations of the LO/TO phonon shifts as a function of oxide thickness are refined. We correlate the available results between physical methods and between physical and electrical methods. This information is essential to inclusion of an interfacial layer in optical models used to measure silicon dioxide inside the clean room. We also describe some characterization issues for nitrided oxides. DA - 1999/7// PY - 1999/7// DO - 10.1016/S1369-8001(99)00009-8 VL - 2 IS - 2 SP - 103-147 SN - 1873-4081 ER - TY - JOUR TI - Bonding constraints and defect formation at interfaces between crystalline silicon and advanced single layer and composite gate dielectrics AU - Lucovsky, G AU - Wu, Y AU - Niimi, H AU - Misra, V AU - Phillips, JC T2 - APPLIED PHYSICS LETTERS AB - An increasingly important issue in semiconductor device physics is understanding of how departures from ideal bonding at silicon–dielectric interfaces generate electrically active defects that limit performance and reliability. Building on previously established criteria for formation of low defect density glasses, constraint theory is extended to crystalline silicon–dielectric interfaces that go beyond Si–SiO2 through development of a model that quantifies average bonding coordination at these interfaces. This extension is validated by application to interfaces between Si and stacked silicon oxide/nitride dielectrics demonstrating that as in bulk glasses and thin films, an average coordination, Nav, greater than three yields increasing defective interfaces. DA - 1999/4/5/ PY - 1999/4/5/ DO - 10.1063/1.123728 VL - 74 IS - 14 SP - 2005-2007 SN - 1077-3118 ER - TY - JOUR TI - A low-thermal-budget in situ doped multilayer silicon epitaxy process for MOSFET channel engineering AU - Ban, I AU - Ozturk, MC AU - Misra, V AU - Wortman, JJ AU - Venables, D AU - Maher, DM T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - This paper describes an in situ boron‐doped, multilayer epitaxial silicon process that can be used to obtain doping profiles for channels in the deep‐submicron regime. We have extensively studied lightly doped channel structures in which an intrinsic silicon layer is grown on an in situ doped epitaxial silicon film. Low‐thermal‐budget processing is achieved by the ultrahigh‐vacuum rapid thermal chemical vapor deposition technique which combines low‐temperature surface preparation and deposition (≤800°C) while providing high growth rates using disilane . Boron doping is achieved using diborane diluted in hydrogen (500 ppm) as the precursor. Temperature and gas switching are compared in terms of doping transition, interface contamination (carbon and oxygen incorporation), and impurity diffusion upon annealing. Our results reveal that for a contamination‐free epitaxial silicon interface, interfacial carbon contamination must be eliminated or reduced to a minimum level. Using this process, short‐channel n‐channel metal‐oxide semiconductor devices μm) have been fabricated for the first time demonstrating the potential use of the technique. It was found that lightly doped channel metal‐oxide semiconductor field effect transistors are more easily scalable into the 0.1 μm regime with superior short‐channel characteristics. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/3// PY - 1999/3// DO - 10.1149/1.1391744 VL - 146 IS - 3 SP - 1189-1196 SN - 0013-4651 ER - TY - JOUR TI - Silver-hydrogen interactions in crystalline silicon AU - Yarykin, N. AU - Sachse, J. U. AU - Lemke, H. AU - Weber, J. T2 - Physical Review. B, Condensed Matter and Materials Physics DA - 1999/// PY - 1999/// VL - 59 IS - 8 SP - 5551-5560 ER - TY - JOUR TI - SiOx gas barrier coatings on polymer substrates: Morphology and gas transport considerations AU - Erlat, AG AU - Spontak, RJ AU - Clarke, RP AU - Robinson, TC AU - Haaland, PD AU - Tropsha, Y AU - Harvey, NG AU - Vogler, EA T2 - JOURNAL OF PHYSICAL CHEMISTRY B AB - Plasma-enhanced chemical vapor deposition (PECVD) of SiOx thin coatings on polymer surfaces yields tough hybrid materials with the gas barrier properties and transparency of glass. Combination of these properties makes these materials ideally suited for food packaging and biomedical device applications. In this study, we employ a Non-Parametric Response Surface Methods optimization to identify the Magnetron-PECVD conditions responsible for superlative SiOx barrier coatings on poly(ethylene terephthalate) (PET). Oxygen and water vapor permeances of optimized PET/SiOx composites produced by hexamethyldisiloxane and trimethylsilane have been measured as functions of temperature and are found to exhibit Arrhenius behavior. The thermal activation energy for water vapor permeation, unlike that for oxygen permeation, depends on barrier performance and increases by as much as 20 kJ/mol with an increase in barrier efficacy. Examination of these materials by phase-imaging atomic force microscopy and energy-filtered transmission electron microscopy reveals a correlation between SiOx morphology (including defects) and barrier performance. Morphological and permeation results are compared to identify some of the physical factors governing water vapor permeation through SiOx-modified polymers. DA - 1999/7/22/ PY - 1999/7/22/ DO - 10.1021/jp990737e VL - 103 IS - 29 SP - 6047-6055 SN - 1089-5647 ER - TY - JOUR TI - Proximal electromagnetic shear forces AU - Ayars, E. AU - Aspnes, D. E. AU - Moyer, P. AU - Paesler, M. A. T2 - Journal of Microscopy AB - We perform a simple model calculation to estimate the electromagnetically induced shear force caused by a current dissipation when a charged tip is moved parallel to a conducting material. For parameters typical in shear force imaging, the force is many orders of magnitude below reported values. Thus, proximal electromagnetic tip-sample forces can be neglected in discussions of shear force imaging. DA - 1999/// PY - 1999/// DO - 10.1046/j.1365-2818.1999.00596.x VL - 196 IS - 1 SP - 59–60 ER - TY - JOUR TI - Mechanical properties of diamond-like carbon composite thin films prepared by pulsed laser deposition AU - Wei, Q AU - Sharma, AK AU - Sankar, J AU - Narayan, J T2 - COMPOSITES PART B-ENGINEERING AB - We have investigated the mechanical properties of diamond-like carbon (DLC) thin films that contain foreign atoms. The DLC films were prepared by pulsed laser deposition. A novel target design was adopted to incorporate foreign atoms into the DLC films during film deposition. Copper, titanium and silicon are chosen as the dopants. The chemical composition of the doped films was determined using Rutherford backscattering spectrometry, X-ray photoelectron spectroscopy and calibrated extrapolation. Experimental results of both visible and UV Raman are presented and discussed in terms of peak shape and position. The effect of dopants on the Raman spectrum is also analyzed. Optical microscopy of the pure DLC of a certain thickness showed severe buckling. A brief review of the theoretical background of adhesion is given and the possible mechanisms of adhesion that may work in DLC coatings are discussed. Qualitative scratch tests on the specimens show that pure DLC has quite poor adhesion due to the large compressive stress, while the doped DLC films exhibit much improved adhesion. Wear tests show improved wear resistance in the doped DLC coatings. Nanoindentation results give an average hardness above 40 GPa and effective Young's modulus above 200 GPa for pure DLC. The copper doped DLC films showed slightly decreased hardness and Young's modulus as compared to pure DLC films. Ti and Si can reduce the hardness and Young's modulus more than Cu. All these can be understood by analyzing the internal stress reduction as derived from Raman G-peak shift to lower wavenumbers. A preliminary model of the stress reduction mechanism is discussed. DA - 1999/// PY - 1999/// DO - 10.1016/S1359-8368(99)00035-9 VL - 30 IS - 7 SP - 675-684 SN - 1879-1069 KW - thin films KW - hardness KW - Young's modulus ER - TY - JOUR TI - Measurement of piezoelectric displacements of Pb(Zr, Ti)O-3 thin films using a double-beam interferometer AU - Maiwa, H AU - Christman, JA AU - Kim, SH AU - Kim, DJ AU - Maria, JP AU - Chen, B AU - Streiffer, SK AU - Kingon, AI T2 - JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS AB - The double-beam interferometric method is applied to measure the field-induced displacement of Pb(Zr, Ti)O 3 thin films. The dc electric field dependence of the longitudinal piezoelectric coefficient ( d 33 ) response of Pb(Zr, Ti)O 3 thin films deposited by metal organic chemical vapor deposition (MOCVD) was measured. Experimental d 33 values were compared with coefficients calculated using a phenomenological approach and bulk parameters. Qualitative agreement was obtained between measured and calculated coefficients. DA - 1999/9// PY - 1999/9// DO - 10.1143/jjap.38.5402 VL - 38 IS - 9B SP - 5402-5405 SN - 0021-4922 KW - piezoelectric properties KW - double-beam interferometry KW - PZT KW - MEMS KW - AFM ER - TY - JOUR TI - Influence of 6H-SiC(0001) substrate surface morphology on the growth of AlN epitaxial layers AU - Torres, VM AU - Edwards, JL AU - Wilkens, BJ AU - Smith, DJ AU - Doak, RB AU - Tsong, IST T2 - APPLIED PHYSICS LETTERS AB - Epitaxial AlN films were grown on 6H–SiC(0001) substrates using an ammonia supersonic seeded beam. The films grown on substrates etched in hydrogen at high temperatures were shown by ion beam channeling to exhibit a higher degree of order relative to those grown on the as-received substrates. Cross-sectional electron microscopy revealed sharper SiC–AlN interfaces with extended flat terraces. In particular, very few stacking mismatch boundaries were observed to originate from the 1.5 nm steps which correspond to the 6H stacking sequence of the substrate. DA - 1999/2/15/ PY - 1999/2/15/ DO - 10.1063/1.123431 VL - 74 IS - 7 SP - 985-987 SN - 0003-6951 ER - TY - JOUR TI - Imaging micro-cracks in gold films: a comparative study of scanning tunneling and atomic force microscopies AU - Neves, BRA AU - Vilela, JMC AU - Russell, PE AU - Reis, ACC AU - Andrade, MS T2 - ULTRAMICROSCOPY AB - In this work, three different scanning probe microscopy (SPM) modes, scanning tunneling microscopy, atomic force microscopy (AFM) -intermittent contact mode; and AFM-contact mode, are compared by imaging micro-cracks on a thin Au film. The excellent capability of SPM techniques to image prominences are confirmed by easily imaging Au particles. Their capabilities to image surface cavities are also analyzed, with an indication that the AFM-intermittent contact mode, using etched Silicon tips, is close to its resolution limit. These results are discussed in terms of spatial extension of the interaction and tip radius of curvature. It is also found that the AFM-contact images undergo an evolution with time producing sharper images. Several possibilities to this effect are reviewed, resulting in an indication that AFM-intermittent contact and AFM-contact images, in this case, are generated by different tip–sample interaction processes in the nanometric scale. DA - 1999/2// PY - 1999/2// DO - 10.1016/S0304-3991(98)00071-0 VL - 76 IS - 1-2 SP - 61-67 SN - 0304-3991 KW - scanning tunneling microscopy KW - atomic force microscopy KW - intermittent contact mode KW - contact mode KW - Au film KW - micro-cracks ER - TY - JOUR TI - Hydrogenated silicon nitride thin films deposited between 50 and 250 degrees C using nitrogen/silane mixtures with helium dilution AU - Klein, TM AU - Anderson, TM AU - Chowdhury, AI AU - Parsons, GN T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS AB - Silicon nitride thin films, deposited by plasma enhanced chemical vapor deposition at temperatures between 250 and 50 °C from SiH4, N2 and He, were characterized using transmission infrared spectroscopy, ellipsometry, wet etch rate, and current-voltage analysis. At 250 °C using SiH4/N2/He flow ratios of 1/150/75, films with refractive index=1.80 and H concentrations &lt;20%, distributed equally in Si-H and N-H units were obtained. The concentration of hydrogen and its distribution in N-H and Si-H bonds are sensitive to process temperature, suggesting that thermally driven N incorporation reactions are important during growth. Inert gas dilution allows films to be formed at &lt;100 °C, with bonded hydrogen configurations similar to films deposited at higher temperatures. Current versus voltage traces of as-deposited films show charge trapping, which can be reduced by extended low temperature anneals. These results show that chemical composition can be controlled in low temperature silicon nitride deposition. This processing approach may be useful for encapsulation or for barrier layer formation on low temperature organic electronic devices or flexible transparent plastic substrates. DA - 1999/// PY - 1999/// DO - 10.1116/1.582104 VL - 17 IS - 1 SP - 108-112 SN - 0734-2101 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000078136300017&KeyUID=WOS:000078136300017 ER - TY - JOUR TI - Growth of epitaxial CoSi2 on SiGe(001) AU - Boyanov, BI AU - Goeller, PT AU - Sayers, DE AU - Nemanich, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - A technique for achieving epitaxial growth of (001)-oriented CoSi2 on strained epitaxial layers of Si1−xGex(001) is described. The technique is based on a variation of the template method, and is designed to control the local environment of Co atoms at the CoSi2/SiGe interface. The effects of the Co–Ge interactions on the interfacial reaction and the epitaxial orientation and the morphology of the silicide film were investigated. This reaction was found to cause pitting in (001)-oriented CoSi2 films, and to stabilize the (221¯) orientation for films codeposited under conditions where CoSi2(001) growth is achieved on Si(001) substrates. The (221¯)-oriented CoSi2 films were islanded after annealing at 700 °C. The islands were terminated by (1¯11) and (110) facets inclined at 15.8° and 19.5°, respectively, from CoSi2 [221¯] towards CoSi2 [114]. These results were interpreted in terms of reduction of interfacial and surface energies, and geometric effects. Silicide films up to 730-Å-thick were deposited and annealed up to 900 °C. The films were stable against agglomeration, and retained tensile stress in the CoSi2 layer after annealing at 700 °C. The rms roughness of the CoSi2 films was comparable to that of the Si(001) substrate—less than 15 Å over areas as large as 20×20 μm2. Films annealed at 900°C were severely agglomerated. DA - 1999/8/1/ PY - 1999/8/1/ DO - 10.1063/1.370894 VL - 86 IS - 3 SP - 1355-1362 SN - 0021-8979 ER - TY - JOUR TI - Evolution of deep-level centers in p-type silicon following ion implantation at 85 K AU - Cho, CR AU - Yarykin, N AU - Brown, RA AU - Kononchuk, O AU - Rozgonyi, GA AU - Zuhr, RA T2 - APPLIED PHYSICS LETTERS AB - In situ deep-level transient spectroscopy measurements have been carried out on p-type silicon following MeV He, Si, and Ge ion implantation at 85 K. Deep levels corresponding to intrinsic and impurity-related point defects are only detected after annealing at temperatures above 200 K. In addition to divacancies, interstitial carbon, and a carbon–oxygen complex, the formation of another defect, denoted as K2, has been observed during annealing at 200–230 K in epitaxial wafers, and at 200–300 K in Czochralski grown material. The energy level of the K2 defect is located 0.36 eV above the valence band, which is very close to a previously observed level of the carbon–oxygen pair. The relative concentration of this defect is ∼10 times higher in samples implanted with Ge than in those implanted with He. Due to its formation temperature, equal concentration in epitaxial and Czochralski grown wafers, and absence in n-type samples, the K2 trap has been tentatively identified as a vacancy-related complex which probably contains boron. DA - 1999/3/1/ PY - 1999/3/1/ DO - 10.1063/1.123519 VL - 74 IS - 9 SP - 1263-1265 SN - 0003-6951 ER - TY - JOUR TI - Evidence of aluminum silicate formation during chemical vapor deposition of amorphous Al2O3 thin films on Si(100) AU - Klein, TM AU - Niu, D AU - Epling, WS AU - Li, W AU - Maher, DM AU - Hobbs, CC AU - Hegde, RI AU - Baumvol, IJR AU - Parsons, GN T2 - APPLIED PHYSICS LETTERS AB - Using narrow nuclear reaction resonance profiling, aluminum profiles are obtained in ∼3.5 nm Al2O3 films deposited by low temperature (&lt;400 °C) chemical vapor deposition on Si(100). Narrow nuclear resonance and Auger depth profiles show similar Al profiles for thicker (∼18 nm) films. The Al profile obtained on the thin film is consistent with a thin aluminum silicate layer, consisting of Al–O–Si bond units, between the silicon and Al2O3 layer. Transmission electron microscopy shows evidence for a two-layer structure in Si/Al2O3/Al stacks, and x-ray photoelectron spectroscopy shows a peak in the Si 2p region near 102 eV, consistent with Al–O–Si units. The silicate layer is speculated to result from reactions between silicon and hydroxyl groups formed on the surface during oxidation of the adsorbed precursor. DA - 1999/12/20/ PY - 1999/12/20/ DO - 10.1063/1.125519 VL - 75 IS - 25 SP - 4001-4003 SN - 0003-6951 UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000084242700033&KeyUID=WOS:000084242700033 ER - TY - JOUR TI - Enhanced miscibility of low-molecular-weight polystyrene polyisoprene blends in supercritical CO2 AU - Walker, TA AU - Raghavan, , SR AU - Royer, , JR AU - Smith, SD AU - Wignall, GD AU - Melnichenko, Y AU - Khan, SA AU - Spontak, RJ T2 - JOURNAL OF PHYSICAL CHEMISTRY B AB - While ongoing efforts continue to explore the high-pressure phase equilibria of polymer blends, few studies have attempted to address the impact of a supercritical (sc) fluid on such equilibria. In this work, we report on the phase behavior of an upper critical solution temperature (UCST) polymer blend in the presence of supercritical carbon dioxide (scCO2), a nonselective plasticizing agent. Blends composed of low-molecular-weight polystyrene and polyisoprene have been examined as a function of temperature in scCO2 by visual inspection, small-angle neutron scattering, and spectrophotometry. In the presence of scCO2, the cloud point temperature is depressed by as much as 28 °C, depending on both blend composition and CO2 pressure. Complementary studies performed with nitrogen decouple the plasticization efficacy of CO2 from free-volume compression due to hydrostatic pressure. Existence of a pressure yielding a maximum in CO2-induced cloud point depression is established. These results provide evidence for enhanced polymer miscibility as a result of the plasticizing effectiveness and tunable solubility of scCO2. DA - 1999/7/1/ PY - 1999/7/1/ DO - 10.1021/jp990551f VL - 103 IS - 26 SP - 5472-5476 SN - 1089-5647 ER - TY - JOUR TI - Electrochemical evaluation of molybdenum nitride electrodes in H2SO4 electrolyte AU - Roberson, SL AU - Finello, D AU - Davis, RF T2 - JOURNAL OF APPLIED ELECTROCHEMISTRY DA - 1999/1// PY - 1999/1// DO - 10.1023/A:1003460529736 VL - 29 IS - 1 SP - 75-80 SN - 0021-891X KW - molybdenum nitride electrodes KW - capacitors KW - H2SO4 electrolytes ER - TY - JOUR TI - Differential thermal analysis of glass mixtures containing SiO2, GeO2, B2O3, and P2O5 AU - Croswell, RT AU - Reisman, A AU - Simpson, DL AU - Temple, D AU - Williams, CK T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - The glassy phases of , , , and as well as selected pseudo‐binary, pseudo‐ternary, and pseudo‐quaternary compositions of these compounds have been examined for glass transitions by differential thermal analysis (DTA) in the context of reflow of doped germanosilicate glasses. does not exhibit a glass transition to temperatures above 1135°C. appears to exhibit a glass transition around 578°C, while appears to exhibit a glass transition in the range of 257-268°C. Although the glass transition temperature of could not be determined, the transition and melting behavior of the H, O, and O' phases have been reevaluated. Moreover, a new mechanism for conversion from H phase to O phase is presented. Namely, the melting of H phase followed by the spontaneous recrystallization of the resulting liquid to form the O phase was observed by DTA. Germanosilicate mixtures exhibited no glass transition, but the germanoborates' glass transition temperatures increased linearly with increasing content. Examination of germanoborosilicates indicated that the addition of any germanosilicate composition to causes a linear increase in glass transition temperature with total germanosilicate mole fraction, independent of the mole ratio. Pseudo‐binary combinations of showed no thermal anomalies on heating or cooling cycles following an initial thermal cycle. However, pseudo‐binary combinations of exhibited exotherms on cooling following initial heating cycles, which may indicate the occurrence of crystallizations that might interfere with reflow phenomena. Pseudo‐quaternary combinations exhibited no thermal anomalies on heating or cooling. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/12// PY - 1999/12// DO - 10.1149/1.1392676 VL - 146 IS - 12 SP - 4569-4579 SN - 0013-4651 ER - TY - JOUR TI - Time dependent dielectric wearout (TDDW) technique for reliability of ultrathin gate oxides AU - Wu, YD AU - Xiang, Q AU - Bang, D AU - Lucovsky, G AU - Lin, MR T2 - IEEE ELECTRON DEVICE LETTERS AB - The degradation of ultrathin oxides is measured and characterized by the dual voltage time dependent dielectric wearout (TDDW) technique. Compared to the conventional time-dependent dielectric breakdown (TDDB) technique, a distinct breakdown can be determined at the operating voltage I-t curve. A noisy, soft prebreakdown effect occurs for 1.8-2.7 nm ultrathin oxides at earlier stress times. The different stages of wearout of 1.8-2.7 nm oxides are discussed. The wearout of oxide is defined when the gate current reaches a critical current density at the circuit operating voltage. Devices still function after the soft breakdowns occur, but are not functional after the sharp breakdown. However, application of the E model to project the dielectric lifetime shows that this is more than 20 y for thermal oxides in the ultrathin regime down to 1.8 nm. DA - 1999/6// PY - 1999/6// DO - 10.1109/55.767092 VL - 20 IS - 6 SP - 262-264 SN - 0741-3106 KW - reliability TDDB KW - TDDW KW - ultrathin oxide ER - TY - JOUR TI - The impact of in situ photoexcitation on the formation of vacancy-type complexes in silicon implanted at 85 and 295 K AU - Yarykin, N AU - Cho, CR AU - Rozgonyi, GA AU - Zuhr, RA T2 - APPLIED PHYSICS LETTERS AB - Photoexcitation of silicon during low-fluence implantation with MeV Si and Ge ions is observed to suppress vacancy-type point-defect formation, as determined by in situ deep-level transient spectroscopy. The A-center formation after low-temperature implantation is extended over a wide temperature interval indicating that electrically inactive clusters, which emit vacancies during annealing, are formed in the end-of-range region during implantation at 85 K. The number of vacancies stored in these clusters is influenced by low-temperature in situ photoexcitation. DA - 1999/7/12/ PY - 1999/7/12/ DO - 10.1063/1.124335 VL - 75 IS - 2 SP - 241-243 SN - 1077-3118 ER - TY - JOUR TI - The effects of interfacial suboxide transition regions on direct tunneling in oxide and stacked oxide-nitride gate dielectrics AU - Yang, H AU - Niimi, H AU - Wu, Y AU - Lucovsky, G AU - Keister, JW AU - Rowe, JE T2 - MICROELECTRONIC ENGINEERING AB - This paper builds on previous work that has demonstrated the effects of interfacial suboxide transition regions at SiSiO2 interfaces on tunneling oscillations in the Fowler-Nordheim regime. This paper extends thes effects to the direct tunneling regime and focuses on differences in interfacial transition regions between SiSiO2 interfaces with, and without monolayer level interface nitridation. Tunneling currents in devices with the same oxide-equivalent thickness are reduced by monolayer level interfacial nitrogen with respect to devices without interface nitridation for i) substrate and gate injection and ii) in both the direct and Fowler-Nordheim tunneling regimes. DA - 1999/9// PY - 1999/9// DO - 10.1016/s0167-9317(99)00395-0 VL - 48 IS - 1-4 SP - 307-310 SN - 0167-9317 ER - TY - JOUR TI - The effects of chemical bonding and band offset constraints at Si-dielectric interfaces on the integration of alternative high-K dielectrics into aggressively-scaled CMOS Si devices AU - Lucovsky, G AU - Phillips, JC T2 - MICROELECTRONIC ENGINEERING AB - This paper identifies three aspects of the chemical bonding at Si-dielectric interfaces that play crucial roles in the implementation of alternative gate dielectrics for advanced CMOS Si devices: i) the character of the interface bonds, either isovalent with bond and nuclear charge balanced as in SiSiO2, or heterovalent, with an inherent mismatch between bond and nuclear charge, ii) physical bonding constraints related to the average number of bonds/atom, Nav, and iii) reduced conduction band offset energies that are result because of increased ionic bonding and d-state derived conduction bands in transition metal oxides. DA - 1999/9// PY - 1999/9// DO - 10.1016/s0167-9317(99)00391-3 VL - 48 IS - 1-4 SP - 291-294 SN - 0167-9317 ER - TY - JOUR TI - The effect of germanium on the Co-SiGe thin-film reaction AU - Boyanov, BI AU - Goeller, PT AU - Sayers, DE AU - Nemanich, RJ T2 - JOURNAL OF SYNCHROTRON RADIATION AB - Ge Co Germanium was found to have a strong influence on the path and products of the Co-SiGe reaction, and on the interfacial stability and crystallographic orientation of the silicide film. The segregation of Ge that occurs during the reaction of blanket Co films with SiGe results in thickness effects not present in the reaction of Co with Si. The thickness effect was modelled in terms of the energy cost of Ge segregation, and good agreement with experimental results was obtained. In s i tu EXAFS experiments on sub-monolayer Co films annealed on SiGe substrates indicate a strong preference for the formation of Co-Si bonds at the silicide-SiGe interface. The implications of these results for the stability of the interface and the epitaxial orientation of co-deposited cobalt disilicide (CoSi2) films will be discussed. DA - 1999/5/1/ PY - 1999/5/1/ DO - 10.1107/S0909049599000060 VL - 6 SP - 521-523 SN - 0909-0495 KW - cobalt silicide KW - silicon-germanium KW - thickness effects KW - interfacial bonding KW - molecular beam epitaxy ER - TY - JOUR TI - Suppression of boron transport out of p(+) polycrystalline silicon at polycrystalline silicon dielectric interfaces AU - Wu, Y. AU - Niimi, H. AU - Yang, H. AU - Lucovsky, G. AU - Fair, R. B. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 1999/// PY - 1999/// VL - 17 IS - 4 SP - 1813-1822 ER - TY - JOUR TI - Stimulated emission in GaN thin films in the temperature range of 300-700 K AU - Bidnyk, S AU - Little, BD AU - Schmidt, TJ AU - Cho, YH AU - Krasinski, J AU - Song, JJ AU - Goldenberg, B AU - Yang, W AU - Perry, WG AU - Bremser, MD AU - Davis, RF T2 - JOURNAL OF APPLIED PHYSICS AB - We report the results of an experimental study on stimulated and spontaneous emission from high-quality single-crystal GaN films grown on 6H-SiC and (0001) sapphire substrates in the temperature range of 300–700 K. We observed edge-emitted stimulated emission (SE) at temperatures as high as 700 K for samples grown on both SiC and sapphire substrates. The energy position of the SE and spontaneous emission peaks were shown to shift linearly to longer wavelengths with temperature and empirical expressions for the energy positions are given. We demonstrate that the energy separation between the spontaneous and SE peaks gradually increases from 90 meV at 300 K to 200 meV at 700 K indicating that an electron-hole plasma is responsible for the SE mechanism in this temperature range. The temperature sensitivity of the SE threshold for different samples was studied and the characteristic temperature was found to be 173 K in the temperature range of 300–700 K for one of the samples studied. We suggest that the unique properties of SE in GaN thin films at high temperatures could potentially be utilized in optoelectronic devices. DA - 1999/2/1/ PY - 1999/2/1/ DO - 10.1063/1.369325 VL - 85 IS - 3 SP - 1792-1795 SN - 1089-7550 ER - TY - JOUR TI - Simulation and electrical characterization of GaN/SiC and AlGaN/SiC heterodiodes AU - Danielsson, E. AU - Zetterling, C. M. AU - Ostling, M. AU - Breitholtz, B. AU - Linthicum, K. AU - Thomson, D. B. AU - Nam, O. H. AU - Davis, R. F. T2 - Materials Science & Engineering. B, Solid-state Materials for Advanced Technology DA - 1999/// PY - 1999/// VL - 61-2 IS - 1999 July 30 SP - 320-324 ER - TY - JOUR TI - Role and significance of source hardening in radiation embrittlement of iron and ferritic steels AU - Murty, KL T2 - JOURNAL OF NUCLEAR MATERIALS AB - Radiation effects on ferritic steels used for pressure vessels and pure iron are investigated to examine the role of the source hardening term responsible for the yield point phenomena and dynamic strain-aging (DSA). The majority of the radiation hardening stems from friction hardening, and the source hardening term decreased with exposure to neutron radiation apparently due to the interaction of the interstitial impurities with radiation produced defects. This decrease in the source hardening suppressed DSA which in turn led to increased ductility with a simultaneous increase in the strength in the temperature range of DSA in the unirradiated condition. While the source hardening term was evaluated from an extrapolation of the work-hardening region to the elastic line for the ferritic steels, the grain-size variation of the yield strength in pure iron allowed a direct evaluation and demonstrated their equivalence. The influence of low-energy (Cd-cutoff) neutrons was studied by comparing radiation effects in specimens with and without Cd-wrapping. Inclusion of thermal neutrons along with fast resulted in a small decrease in the source hardening with a slight increase in the friction hardening which revealed a critical grain size below which exposure to total (fast and thermal) neutron spectrum resulted in a slight reduction in the yield stress compared to the exposure to only fast neutrons. This grain-size effect is shown to be in line with known radiation effects on friction and source hardening terms along with the observation that low-energy neutrons have a non-negligible effect on the mechanical properties of steels. In ferritic steels, however, despite their small grain size, exposure to total neutron spectrum yielded higher strengths than exposure to only fast neutrons. This behavior is consistent with the fact that the source hardening is small in these alloys and the radiation effect is due only to friction stress. DA - 1999/4/1/ PY - 1999/4/1/ DO - 10.1016/S0022-3115(98)00766-1 VL - 270 IS - 1-2 SP - 115-128 SN - 0022-3115 ER - TY - JOUR TI - Raman analysis of phonon lifetimes in AlN and GaN of wurtzite structure AU - Bergman, L AU - Alexson, D AU - Murphy, PL AU - Nemanich, RJ AU - Dutta, M AU - Stroscio, MA AU - Balkas, C AU - Shin, H AU - Davis, RF T2 - PHYSICAL REVIEW B AB - Raman analyses of the lifetimes of phonons in GaN and AlN crystallites of wurtzite structure are presented. In order to ensure the accuracy of the measurement of the phonon lifetimes, an experimental procedure to eliminate the broadening due to the finite slit width was performed. The lifetime analyses indicate that the phonon lifetimes in AlN as well as in GaN fall into two main time regimes: a relatively long time of the ${E}_{2}^{1}$ mode and much shorter times of the ${E}_{2}^{2},$ $E1(\mathrm{TO}),$ and $A1(\mathrm{TO})$ modes. The lifetimes of the ${E}_{2}^{1},$ ${E}_{2}^{2},$ $E1(\mathrm{TO}),$ $A1(\mathrm{TO}),$ and $A1(\mathrm{LO})$ modes of an high-quality AlN crystallite are 4.4, 0.83, 0.91, 0.76, and 0.45 ps, respectively. Moreover, the lifetime of the $A1(\mathrm{LO})$ mode found in this study is consistent with the current phonon-decay model of that mode in wurtzite structure materials. The lifetimes of ${E}_{2}^{1},$ ${E}_{2}^{2},$ $E1(\mathrm{TO}),$ and $A1(\mathrm{TO})$ of a GaN crystallite were found to be 10.1, 1.4, 0.95, and 0.46 ps, respectively. The $A1(\mathrm{LO})$ mode in the GaN was not observed and its absence is attributed to plasmon damping. The lifetime shortening due to impurities was also studied: the lifetimes of the Raman modes of an AlN crystallite, which contains about two orders of magnitude more Si and C impurities relative to the concentration of the high-quality crystallite were found to be 50% shorter. DA - 1999/5/15/ PY - 1999/5/15/ DO - 10.1103/physrevb.59.12977 VL - 59 IS - 20 SP - 12977-12982 SN - 1550-235X ER - TY - JOUR TI - Predictions of enhanced chemical reactivity at regions of local conformational strain on carbon nanotubes: Kinky chemistry AU - Srivastava, D AU - Brenner, DW AU - Schall, JD AU - Ausman, KD AU - Yu, MF AU - Ruoff, RS T2 - JOURNAL OF PHYSICAL CHEMISTRY B AB - Simulations that model the effects of conformational strain on the chemical reactivity of single-walled carbon nanotubes suggest a method for significantly enhancing their reactivity locally by controlled deformations. The chemisorption of hydrogen atoms is predicted to be enhanced by as much as 1.6 eV at regions of high conformational deformation, suggesting that local reactivity will be significantly enhanced. Analysis of the local electronic density of states suggests the introduction of radical p orbital character to the sites that are locally deformed, consistent with the heightened reactivity and large pyramidalization angles at these sites. Preliminary experimental data consistent with this predicted heightened reactivity is also presented. DA - 1999/5/27/ PY - 1999/5/27/ DO - 10.1021/jp990882s VL - 103 IS - 21 SP - 4330-4337 SN - 1520-5207 ER - TY - JOUR TI - Pendeo-epitaxy of gallium nitride thin films AU - Linthicum, K. J. AU - Gehrke, T. AU - Thomson, D. B. AU - Carlson, E. P. AU - Rajagopal, P. AU - Smith, T. AU - Batchelor, D. AU - Davis, R. T2 - Applied Physics Letters AB - Pendeoepitaxy, a form of selective lateral growth of GaN thin films has been developed using GaN/AlN/6H–SiC(0001) substrates and produced by organometallic vapor phase epitaxy. Selective lateral growth is forced to initiate from the (112̄0) GaN sidewalls of etched GaN seed forms by incorporating a silicon nitride seed mask and employing the SiC substrate as a pseudomask. Coalescence over and between the seed forms was achieved. Transmission electron microscopy revealed that all vertically threading defects stemming from the GaN/AlN and AlN/SiC interfaces are contained within the seed forms and a substantial reduction in the dislocation density of the laterally grown GaN. Atomic force microscopy analysis of the (112̄0) face of discrete pendeoepitaxial structures revealed a root mean square roughness of 0.98 Å. The pendeoepitaxial layer photoluminescence band edge emission peak was observed to be 3.454 eV and is blueshifted by 12 meV as compared to the GaN seed layer. DA - 1999/// PY - 1999/// DO - 10.1063/1.124317 VL - 75 IS - 2 SP - 196-198 ER - TY - JOUR TI - Optimal design of a high pressure organometallic chemical vapor deposition reactor AU - Bachmann, KJ AU - Banks, HT AU - Hopfner, C AU - Kepler, GM AU - LeSure, S AU - McCall, SD AU - Scroggs, JS T2 - MATHEMATICAL AND COMPUTER MODELLING AB - A team composed of material scientists, physicists, and applied mathematicians have used computer simulations as a fundamental design tool in developing a new prototype High Pressure Organometallic Chemical Vapor Deposition (HPOMCVD) reactor for use in thin film crystal growth. Early design of the HPOMCVD reactor dramatically evolved long before any physical reactor was built. This effort offers a strong endorsement of such multidisciplinary, computationally based modeling teams in the design of new products in areas of emerging technologies where heretofore extensive and costly experimental design was the central paradigm. DA - 1999/4// PY - 1999/4// DO - 10.1016/S0895-7177(99)00071-0 VL - 29 IS - 8 SP - 65-80 SN - 0895-7177 ER - TY - JOUR TI - Optical band gap dependence on composition and thickness of InxGa1-xN (0 < x < 0.25) grown on GaN AU - Parker, CA AU - Roberts, JC AU - Bedair, SM AU - Reed, MJ AU - Liu, SX AU - El-Masry, NA AU - Robins, LH T2 - APPLIED PHYSICS LETTERS AB - Band gap measurements have been carried out in strained and relaxed InxGa1−xN epilayers with x&lt;0.25. Values of x were determined from x-ray diffraction of relaxed films. The lowest energy absorption threshold, measured by transmittance, was found to occur at the same energy as the peak of the photoluminescence spectrum. Bowing parameters for both strained and relaxed films were determined to be 3.42 and 4.11 eV, respectively. The dependence of the band gap shift, ΔEg, on strain is presented. DA - 1999/10/25/ PY - 1999/10/25/ DO - 10.1063/1.125079 VL - 75 IS - 17 SP - 2566-2568 SN - 1077-3118 ER - TY - JOUR TI - Observation of topography inversion in atomic force microscopy of self-assembled monolayers AU - Neves, BRA AU - Leonard, DN AU - Salmon, ME AU - Russell, PE AU - Troughton, EB T2 - NANOTECHNOLOGY AB - In this paper, we report on atomic force microscopy (AFM) investigation of a self-assembled monolayer (SAM) system - octadecylphosphonic acid (OPA) deposited on mica. With the deposition methods employed in this work, the SAM presents a partial coverage, i.e., the OPA covers only a fraction of the mica surface and, therefore, some bare mica regions are observed. Using standard intermittent contact AFM (IC-AFM) techniques (with medium to high oscillation damping), the topographic profile of this system clearly shows the flat SAM on top of the mica surface. However, when a small oscillation damping mode is employed, the topographic profile is inverted, i.e., the mica regions appear higher than the surrounding OPA layer. AFM experiments, carried out to assess the origin of this effect, yield strong evidences that it is related to the presence of a water contamination layer on the bare mica regions only. A semi-quantitative model is utilized to understand the experimental results. DA - 1999/12// PY - 1999/12// DO - 10.1088/0957-4484/10/4/307 VL - 10 IS - 4 SP - 399-404 SN - 0957-4484 ER - TY - JOUR TI - Observation of highly dispersive surface states on GaN(0001)1x1 AU - Chao, Y. C. AU - Stagarescu, C. B. AU - Downes, J. E. AU - Ryan, P. AU - Smith, K. E. AU - Hanser, D. AU - Bremser, M. D. AU - Davis, R. F. T2 - Physical Review. B, Condensed Matter and Materials Physics DA - 1999/// PY - 1999/// VL - 59 IS - 24 SP - R15586-15589 ER - TY - JOUR TI - Monolayer-level controlled incorporation of nitrogen in ultrathin gate dielectrics using remote plasma processing: Formation of stacked 'N-O-N' gate dielectrics AU - Niimi, H. AU - Lucovsky, G. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 1999/// PY - 1999/// VL - 17 IS - 6 SP - 2610-2621 ER - TY - JOUR TI - Low-temperature organometallic epitaxy and its application to superlattice structures in thermoelectrics AU - Venkatasubramanian, R AU - Colpitts, T AU - B O'Quinn, AU - Liu, S AU - El-Masry, N AU - Lamvik, M T2 - APPLIED PHYSICS LETTERS AB - We describe a simple, yet phenomenologically very different, low-temperature modification to the conventional metal–organic chemical vapor deposition. It has been applied to the epitaxy of hexagonal-phased Bi2Te3/Sb2Te3 superlattices on zinc-blende GaAs substrates. The modification enables a two-dimensional, layer-by-layer, epitaxy instead of a three-dimensional islanded growth. Therefore, this approach is of generic importance to the epitaxy of many electronic and magnetic materials and their superlattices. High-resolution transmission electron microscopy studies indicate that the interface between the GaAs substrate and Bi2Te3 film is qualitatively defect free and that periodic structures are formed in the Bi2Te3/Sb2Te3 superlattices, with one of the individual layers as small as 10 Å. Such ultra-short-period superlattices offer significantly higher carrier mobilities than their respective solid-solution alloys, apparently due to the elimination of alloy scattering and the minimal effects of random interface scattering on carrier transport. This represents one of the successful observations of enhanced carrier mobilities in monolayer-range superlattices. DA - 1999/8/23/ PY - 1999/8/23/ DO - 10.1063/1.124610 VL - 75 IS - 8 SP - 1104-1106 SN - 0003-6951 ER - TY - JOUR TI - Low temperature aging embrittlement of CF-8 stainless steel AU - Mathew, MD AU - Lietzan, LM AU - Murty, KL AU - Shah, VN T2 - MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING AB - Cast stainless steels such as CF-8 are used for several components such as pump and valve casings, elbows, fittings and primary coolant pipes in nuclear power plants. CF-8 stainless steel has a duplex microstructure consisting of austenite and about 15–20% δ-ferrite. Long-term exposure at the reactor operating temperatures results in aging-induced embrittlement of the material due to spinodal decomposition of the ferrite phase. In this paper, we report the effects of low temperature aging (673 K) up to 18 months on the mechanical and fracture properties of cast CF-8 stainless steel in the range of 173–423 K. These studies have been carried out using non-destructive ball indentation tests. Standard Charpy and tensile tests were also carried out to complement the ball indentation data. Increase in strength and decrease in toughness have been observed following aging. These changes in the properties tend to saturate on aging for more than 12 months. DA - 1999/8/30/ PY - 1999/8/30/ DO - 10.1016/s0921-5093(99)00140-9 VL - 269 IS - 1-2 SP - 186-196 SN - 1873-4936 KW - low temperature aging KW - aging-induced embrittlement KW - CF-8 stainless steel KW - automated ball indentation (ABI) KW - fracture ER - TY - JOUR TI - Interfacial properties of ultrathin pure silicon nitride formed by remote plasma enhanced chemical vapor deposition AU - Misra, V. AU - Lazar, H. AU - Wang, Z. AU - Wu, Y. AU - Niimi, H. AU - Lucovsky, G. AU - Wortman, J. J. AU - Hauser, J. R. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 1999/// PY - 1999/// VL - 17 IS - 4 SP - 1836-1839 ER - TY - JOUR TI - Growth, doping and characterization of epitaxial thin films and patterned structures of AlN, GaN, and AlxGa1-xN AU - Hanser, AD AU - Nam, OH AU - Bremser, MD AU - Thomson, DB AU - Gehrke, T AU - Zheleva, TS AU - Davis, RF T2 - DIAMOND AND RELATED MATERIALS AB - Advancements in the doping of GaN and AlxGa1−xN thin films, and the growth of GaN and AlxGa1−xN structures on patterned heterostructure substrates via metalorganic vapor phase epitaxy are reported. The acceptor-type behavior of Mg-doped GaN films grown in N2 diluents is presented. Net ionized impurity concentrations up to 8×1018 cm−3 and Hall mobilities up to ≈14 cm2 V−1 s−1 were measured for Mg-doped films grown in N2 in the as-grown condition. Donor and acceptor doping of AlxGa1−xN alloys was performed. Acceptor doping of AlxGa1−xN for x≤0.13 and donor doping for x≤0.58 were achieved for films deposited at 1100 °C. Lateral epitaxial overgrowth of GaN and AlxGa1−xN layers was investigated. The growth and coalescence of GaN and AlxGa1−xN stripes patterned in SiO2 and/or SiNx masks deposited on GaN, including aligned second lateral epitaxial overgrowth on initial laterally overgrown GaN layers, are discussed. DA - 1999/3// PY - 1999/3// DO - 10.1016/S0925-9635(98)00341-0 VL - 8 IS - 2-5 SP - 288-294 SN - 1879-0062 KW - AlN KW - thin films KW - patterned structures KW - GaN ER - TY - JOUR TI - Excitonic structure and absorption coefficient measurements of ZnO single crystal epitaxial films deposited by pulsed laser deposition AU - Muth, JF AU - Kolbas, RM AU - Sharma, AK AU - Oktyabrsky, S AU - Narayan, J T2 - JOURNAL OF APPLIED PHYSICS AB - The optical properties of high quality single crystal epitaxial zinc oxide thin films grown by pulsed laser deposition on c-plane sapphire substrates were studied. It was found that annealing the films in oxygen dramatically improved the optical and electrical properties. The absorption coefficient, band gap, and exciton binding energies were determined by transmission measurements and photoluminescence. In both the annealed and the as-deposited films excitonic absorption features were observed at both room temperature and 77 K. In the annealed films the excitonic absorption peaks were substantially sharper and deep level photoluminescence was suppressed. DA - 1999/6/1/ PY - 1999/6/1/ DO - 10.1063/1.370601 VL - 85 IS - 11 SP - 7884-7887 SN - 1089-7550 ER - TY - JOUR TI - Enhanced barrier performance of SiOx-modified polymer substrates: some morphological considerations AU - Wang, BC AU - Tropsha, Y AU - Montgomery, DB AU - Vogler, EA AU - Spontak, RJ T2 - JOURNAL OF MATERIALS SCIENCE LETTERS DA - 1999/2/15/ PY - 1999/2/15/ DO - 10.1023/A:1006687324051 VL - 18 IS - 4 SP - 311-315 SN - 0261-8028 ER - TY - JOUR TI - Discrete element modeling of shock compression of hexagonal boron nitride powder with and without copper addition AU - Horie, Y AU - Yano, K T2 - JOURNAL OF MATERIALS PROCESSING TECHNOLOGY AB - A multi-physics, discrete element computer code called DM2 was used to model shock compression of hexagonal boron nitride (hBN) powder at the particle level. Included in this analysis are an anisotropic property of powder grains and heat conduction between hBN and copper particles. Results confirm the earlier observations that the effects of copper are to increase shock pressure and to act as a heat sink to cool BN grains. But, the simulation also reveals that the shock processing at the particle level is far more complex than those suggested in the literature and that the interpretation of process parameters based on macroscopic conditions requires caution. DA - 1999/1/1/ PY - 1999/1/1/ DO - 10.1016/S0924-0136(98)00272-6 VL - 85 IS - 1-3 SP - 109-114 SN - 0924-0136 KW - shock processing KW - hBN KW - discrete element modeling ER - TY - JOUR TI - Defect energy levels in electron-irradiated and deuterium- implanted 6H silicon carbide AU - Aboelfotoh, M. O. AU - Doyle, J. P. T2 - Physical Review. B, Condensed Matter and Materials Physics DA - 1999/// PY - 1999/// VL - 59 IS - 16 SP - 10823-10829 ER - TY - JOUR TI - Charge redistribution at GaN-Ga2O3 interfaces: A microscopic mechanism for low defect density interfaces in remote plasma processed MOS devices prepared on polar GaN faces AU - Therrien, R AU - Niimi, H AU - Gehrke, T AU - Lucovsky, G AU - Davis, R T2 - MICROELECTRONIC ENGINEERING AB - Abstract Interfacial defect densities are typically two orders of magnitude higher at [III–V]-dielectric interfaces than at SiSiO 2 interfaces. This paper demonstrates GaN devices with significantly reduced interfacial defect densities using a two step remote plasma process to form the GaN-dielectric interface and then deposit the dielectric film. Separate plasma oxidation and deposition steps have previously been used for fabrication of aggressively scaled Si devices. Essentially the same 300°C remote plasma processing has been applied to GaN metal-oxide semiconductor (MOS) capacitors and field effect transistors (FETs). This paper i) discusses the low temperature plasma process for GaN device fabrication, ii) briefly reviews GaN device performance, and then iii) presents a chemical bonding model that provides a basis for the improved interface electrical properties. DA - 1999/9// PY - 1999/9// DO - 10.1016/s0167-9317(99)00394-9 VL - 48 IS - 1-4 SP - 303-306 SN - 0167-9317 ER - TY - JOUR TI - Characterization of gradients in mechanical properties of SA-533B steel welds using ball indentation AU - Murty, KL AU - Miraglia, PQ AU - Mathew, MD AU - Shah, VN AU - Haggag, FM T2 - INTERNATIONAL JOURNAL OF PRESSURE VESSELS AND PIPING AB - Gradients in mechanical and fracture properties of SA-533B steel welds were studied using ball indentation technique. The local stress–strain behaviors of different microstructural zones of the weld were determined at various temperatures. Gradients in the strength of the base metal, weld metal and the different positions in the heat affected zone were observed to be consistent with the changes in the microstructure. The maximum in yield and the corresponding minimum in indentation energy to fracture occurred at around 1 mm from the fusion line. DA - 1999/5// PY - 1999/5// DO - 10.1016/S0308-0161(99)00006-X VL - 76 IS - 6 SP - 361-369 SN - 0308-0161 KW - steel welds KW - automated ball indentation KW - stress-strain behavior KW - energy to fracture ER - TY - JOUR TI - Bonding constraint-induced defect formation at Si-dielectric interfaces and internal interfaces in dual-layer gate dielectrics AU - Lucovsky, G. AU - Wu, Y. AU - Niimi, H. AU - Misra, V. AU - Phillips, J. C. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 1999/// PY - 1999/// VL - 17 IS - 4 SP - 1806-1812 ER - TY - JOUR TI - Biological uptake of influent organic matter as an electron donor for denitrification by activated sludge AU - Shin, HS AU - Nam, SY AU - Jun, HB AU - Park, HS T2 - BIOTECHNOLOGY TECHNIQUES DA - 1999/6// PY - 1999/6// DO - 10.1023/A:1008998113204 VL - 13 IS - 6 SP - 415-418 SN - 0951-208X KW - activated sludge KW - biological uptake KW - denitrification KW - organic matter ER - TY - JOUR TI - Adhesion measurement of zirconium nitride and amorphous silicon carbide coatings to nickel and titanium alloys AU - Gruss, KA AU - Davis, RF T2 - SURFACE & COATINGS TECHNOLOGY AB - Polycrystalline ZrN and amorphous Si0.57C0.43 coatings were deposited by cathodic arc evaporation and by plasma-assisted chemical vapor deposition (PACVD) respectively on Incoloy 825 (Inc. 825), Hastelloy C22 (Hast. C22) and Titanium Grade 12 (Ti. 12) substrates. Scratch tests were employed to assess the critical load for interfacial failure and fracture mechanisms for the various coating systems. Critical loads, characterized by continuous delamination of the coating, occurred at 41.2 N, 44.1 N and 29.4 N for ZrN deposited on Hast. C22, Inc. 825 and Ti. 12 respectively. Interfacial failure of the Si0.57C0.43-coated metallic substrates was dominated by brittle fracture of the Si0.57C0.43 coating. Critical loads of 2.9 N, 3.9 N and 6.8 N were obtained for Si0.57C0.43 deposited on Inc. 825, Hast. C22 and Ti. 12 respectively. Work of adhesion values were calculated from two well-known models, namely the Bull–Rickerby and Laugier models, and from a model that incorporates elastic–plastic indentation. The ranking of the adhesion for the coating–metal substrate combinations is (from best to worst): ZrN–Inc. 825, ZrN–Hast. C22, ZrN–Ti, Si0.57C0.43–Ti, Si0.57C0.43–Hast. C22 and Si0.57C0.43–Inc. 825. DA - 1999/5/12/ PY - 1999/5/12/ DO - 10.1016/S0257-8972(99)00042-0 VL - 114 IS - 2-3 SP - 156-168 SN - 0257-8972 KW - adhesion measurement KW - amorphous silicon carbide KW - coatings KW - fracture mechanisms KW - nickel alloys KW - scratch test KW - titanium alloys KW - zirconium nitride KW - work of adhesion ER - TY - JOUR TI - Thermal mismatch stress relaxation via lateral epitaxy in selectively grown GaN structures AU - Zheleva, TS AU - Ashmawi, WM AU - Nam, OH AU - Davis, RF T2 - APPLIED PHYSICS LETTERS AB - A reduction in the dislocation density of 104–105 cm−2 has been achieved via lateral epitaxial overgrowth (LEO) of GaN films selectively grown from stripes etched in SiO2 masks deposited on GaN/AlN/6H–SiC(0001) heterostructures. The magnitudes and distribution of stresses generated in the LEO GaN layer and the SiO2, due primarily to differences in the coefficients of thermal expansion, were modeled using finite element (FE) analysis. These calculations showed that localized compressive stress fields of ≈3 GPa occurred at the edges of the LEO GaN in the vicinity of the GaN/SiO2 interface. Localized compression along the GaN substrate/SiO2 interface and tension along the 〈0001〉 direction were responsible for the change in shape of the SiO2 stripes from rectangular with flat sides to an airfoil shape with curved sides. The FE calculations also revealed that an increase in the width of the LEO GaN regions over the SiO2 or the reduction in the separation between the GaN stripes (all other dimensions being fixed) resulted in a slight reduction in the compressive stresses along the LEO GaN/SiO2 interface and an increase in the compressive stress along [0001]. An increase in the shear stress, at the corners of the LEO GaN near the LEO GaN/SiO2 interface, with an increase in the width of the LEO GaN region were also indicated. DA - 1999/4/26/ PY - 1999/4/26/ DO - 10.1063/1.123017 VL - 74 IS - 17 SP - 2492-2494 SN - 0003-6951 ER - TY - JOUR TI - The role of Ag in the pulsed laser growth of YBCO thin films AU - Kalyanaraman, R AU - Oktyabrsky, S AU - Narayan, J T2 - JOURNAL OF APPLIED PHYSICS AB - We have studied systematically the role of silver in improving microstructure and properties of Y1Ba2Cu3O7−δ (YBCO) thin films. We have more than doubled the grain size to nearly 1.8 μm and reduced processing temperatures by incorporating Ag in the YBCO films, which is accomplished by using a composite target containing 15% by weight of Ag. These films show approximately four times higher Jc than the best films obtained on MgO(001) substrates deposited from stoichiometric Y1Ba2Cu3O7−δ targets. Study of the silver content in the film as a function of the deposition temperature shows clearly a decreasing concentration with increasing temperature and a segregation of the Ag to the surface. The increased oxygen content in the films is also observed at lower processing temperatures, providing strong support for the efficient oxygenation of YBCO via the presence of silver. A qualitative model suggests that the formation of silver oxide, rapid surface diffusion of Ag on MgO surfaces, and the nonreactivity of Ag with YBCO are the key aspects to the improvement in microstructure. The possibility of extending these ideas to the growth of oxides is also discussed, along with the fabrication of in-situ superconducting-metal junctions with 3D geometries. DA - 1999/5/1/ PY - 1999/5/1/ DO - 10.1063/1.370172 VL - 85 IS - 9 SP - 6636-6641 SN - 0021-8979 ER - TY - JOUR TI - Simulation of void and oxygen precipitation processes during high temperature annealing of silicon wafers AU - Romanowski, A AU - Rozgonyi, G AU - Tamatsuka, M T2 - JOURNAL OF APPLIED PHYSICS AB - The kinetics for dissolution/growth of defects in Czochralski silicon wafers during a 1 h high temperature annealing at 1100 °C has been investigated. The size and distribution of point defects such as vacancy, self-interstitial and oxygen interstitial, are simulated for oxygen and hydrogen ambient annealing. The boundary conditions are analyzed separately for hydrogen and oxygen annealing. A deterministic homogeneous model is used for describing the defect kinetics. The self-interstitial injection rate during oxide annealing is calculated from the Deal-Grove model. Simulated void and oxygen size distributions are compared to B- and C-mode capacitor failure distribution functions. Experimental and theoretical data show that voids can be dissolved during either oxygen or hydrogen annealing, while oxygen precipitates are dissolved during hydrogen annealing and only partially dissolved during oxygen annealing. DA - 1999/5/1/ PY - 1999/5/1/ DO - 10.1063/1.370144 VL - 85 IS - 9 SP - 6408-6414 SN - 0021-8979 ER - TY - JOUR TI - Scanning electron microscopy and cathodoluminescence study of the epitaxial lateral overgrowth (ELO) process for gallium nitride AU - Johnson, MAL AU - Yu, ZH AU - Brown, JD AU - El-Masry, NA AU - Cook, JW AU - Schetzina, JF T2 - JOURNAL OF ELECTRONIC MATERIALS DA - 1999/3// PY - 1999/3// DO - 10.1007/s11664-999-0030-1 VL - 28 IS - 3 SP - 295-300 SN - 0361-5235 KW - cathodoluminescence (CL) KW - epitaxial lateral overgrowth (ELO) KW - GaN KW - metalorganic vapor phase epitaxy (MOVPE) ER - TY - JOUR TI - Ranges of deposition temperatures applicable for metalorganic vapor phase epitaxy of GaN films via the technique of pendeo- epitaxy AU - Thomson, D. B. AU - Gehrke, T. AU - Linthicum, K. J. AU - Rajagopal, P. AU - Davis, R. F. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G3.37 ER - TY - JOUR TI - Quality of selective silicon epitaxial films deposited using disilane and chlorine AU - PA O'Neil, AU - Ozturk, MC AU - Batchelor, AD AU - Xu, MM AU - Maher, DM T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - We have previously reported on the selectivity and growth of a silicon epitaxy process using and in an ultrahigh‐vacuum rapid thermal chemical vapor deposition reactor. In this report, we have extended the previous work and provide information regarding the structural and electrical quality of thick (3000 Å) selective silicon epitaxial layers deposited under a variety of growth conditions. Electrical test structures, including enclosed n‐channel metal oxide semiconductor field effect transistors (MOSFETs) and large‐area gated diodes, were fabricated within the epitaxial layers. We demonstrate that variations in the chlorine to silicon ratio (Cl/Si) and the process temperature can lead to structural defects and low generation lifetimes. The defects, however, had a benign effect over the MOSFET drive current and channel transconductance. Overall, the results in this study indicate that high levels of chlorine, as well as low growth temperatures, can potentially inhibit the structural and/or electrical quality of selectively deposited silicon films. However, for growth at or above 800°C with a Cl/Si ratio of 0.23, excellent selectivity as well as extremely high bulk generation lifetimes can be obtained for films with structural defect densities well below the detection limits used within this study. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/6// PY - 1999/6// DO - 10.1149/1.1391937 VL - 146 IS - 6 SP - 2337-2343 SN - 0013-4651 ER - TY - JOUR TI - Process routes for low defect-density GaN on various substrates employing pendeo-epitaxial growth techniques AU - Linthicum, K. J. AU - Gehrke, T. AU - Thomson, D. B. AU - Tracy, K. M. AU - Carlson, E. P. AU - Smith, T. P. AU - Zheleva, T. S. AU - Zorman, C. A. AU - Mehregany, M. AU - Davis, R. F. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G4.9 ER - TY - JOUR TI - Pendeo-epitaxy: A new approach for lateral growth of gallium nitride structures AU - Zheleva, T. S. AU - Smith, S. A. AU - Thomson, D. B. AU - Gehrke, T. AU - Linthicum, K. J. AU - Rajagopal, P. AU - Carlson, E. AU - Ashmawi, W. M. AU - Davis, R. F. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G3.38 ER - TY - JOUR TI - Pendeo-epitaxy of gallium nitride and aluminum nitride films and heterostructures on silicon carbide substrate AU - Gehrke, T. AU - Linthicum, K. J. AU - Thomson, D. B. AU - Rajagopal, P. AU - Batchelor, A. D. AU - Davis, R. F. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G3.2 ER - TY - JOUR TI - Oxygen diffusion in heavily antimony-, arsenic-, and boron-doped Czochralski silicon wafers AU - Ono, T AU - Rozgonyi, GA AU - Asayama, E AU - Horie, H AU - Tsuya, H AU - Sueoka, K T2 - APPLIED PHYSICS LETTERS AB - The effect of dopant-type, antimony (Sb), arsenic (As), and boron (B), on the outdiffusion of oxygen in heavily doped Czochralski (Cz) silicon wafers has been investigated using secondary ion mass spectroscopy. The results indicate that, although oxygen diffusion in Cz silicon is retarded in heavily B- and As-doped wafers during low temperature annealing (800 °C), it is not influenced by heavy Sb doping. This indicates that charge effects and atom size effects have negligible influence on the diffusion of oxygen. The B and As diffusion retardation effect is attributed to the existence of dopant-oxygen complexes. The oxygen solubility was largest in the most heavily B-doped samples annealed at low temperature. DA - 1999/6/14/ PY - 1999/6/14/ DO - 10.1063/1.123210 VL - 74 IS - 24 SP - 3648-3650 SN - 0003-6951 ER - TY - JOUR TI - Optical and structural studies of Ge nanocrystals embedded in AlN matrix fabricated by pulsed laser deposition AU - Hassan, KM AU - Sharma, AK AU - Narayan, J AU - Muth, JF AU - Teng, CW AU - Kolbas, RM T2 - APPLIED PHYSICS LETTERS AB - Views Icon Views Article contents Figures & tables Video Audio Supplementary Data Peer Review Share Icon Share Twitter Facebook Reddit LinkedIn Tools Icon Tools Reprints and Permissions Cite Icon Cite Search Site Citation K. M. Hassan, A. K. Sharma, J. Narayan, J. F. Muth, C. W. Teng, R. M. Kolbas; Optical and structural studies of Ge nanocrystals embedded in AlN matrix fabricated by pulsed laser deposition. Appl. Phys. Lett. 30 August 1999; 75 (9): 1222–1224. https://doi.org/10.1063/1.124648 Download citation file: Ris (Zotero) Reference Manager EasyBib Bookends Mendeley Papers EndNote RefWorks BibTex toolbar search Search Dropdown Menu toolbar search search input Search input auto suggest filter your search All ContentAIP Publishing PortfolioApplied Physics Letters Search Advanced Search |Citation Search DA - 1999/8/30/ PY - 1999/8/30/ DO - 10.1063/1.124648 VL - 75 IS - 9 SP - 1222-1224 SN - 1077-3118 ER - TY - JOUR TI - Optical absorption, Raman, and photoluminescence excitation spectroscopy of inhomogeneous InGaN films AU - Robins, L. H. AU - Paul, A. J. AU - Parker, C. A. AU - Roberts, J. C. AU - Bedair, S. M. AU - Piner, E. L. AU - El-Masry, N. A. T2 - MRS Internet Journal of Nitride Semiconductor Research AB - In x Ga 1−x N films with x=0.06 to x=0.49 were characterized by optical transmittance, Raman, and photoluminescence excitation spectroscopies. Previous microstructural characterizations detected phase separation only in films with x>0.2. The transmittance data suggest that compositional inhomogeneity is also present in the lower-x films (x<0.2). Both Raman and photoluminescence excitation spectra show features that correlate with compositional inhomogeneity and phase separation in the films with x>0.2. The composition dependence of the Raman spectra, from x=0.28 to x=0.49, is consistent with an increase in the size of the phase-separated regions with increasing x. DA - 1999/// PY - 1999/// DO - 10.1557/s1092578300002490 VL - 4S1 IS - G3.22 ER - TY - JOUR TI - Investigation of thickness effects on AlN coated metal tips by in situ I-V measurement AU - Kang, DH AU - Zhirnov, , VV AU - Wojak, GJ AU - Preble, EA AU - Choi, WB AU - Hren, JJ AU - Cuomo, JJ T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - The effects of the aluminum nitride coating thickness on molybdenum emitter tips were investigated by an in situ I–V measurement technique inside a typical magnetron sputtering system. AlN was deposited on Mo tips using a dc-modulated 1 kW power source at 200 °C. Each I/V measurement was carried out immediately following a 15 s AlN deposition. Significantly improved field emission was observed as well as a strong emission thickness dependence, which we attribute to the influence of space charge. DA - 1999/// PY - 1999/// DO - 10.1116/1.590608 VL - 17 IS - 2 SP - 632-634 SN - 1071-1023 ER - TY - JOUR TI - Imaging electron emission from diamond and III-V nitride surfaces with photo-electron emission microscopy AU - Nemanich, RJ AU - English, SL AU - Hartman, JD AU - Sowers, AT AU - Ward, BL AU - Ade, H AU - Davis, RF T2 - APPLIED SURFACE SCIENCE AB - Wide bandgap semiconductors such as diamond and the III–V nitrides (GaN, AlN, and AlGaN alloys) exhibit small or even negative electron affinities. Results have shown that different surface treatments will modify the electron affinity of diamond to cause a positive or negative electron affinity (NEA). This study describes the characterization of these surfaces with photo-electron emission microscopy (PEEM). The PEEM technique is unique in that it combines aspects of UV photoemission and field emission. In this study, PEEM images are obtained with either a traditional Hg lamp or with tunable UV excitation from a free electron laser. The UV-free electron laser at Duke University provides tunable emission from 3.5 to greater than 7 eV. PEEM images of boron or nitrogen (N)-doped diamond are similar to SEM of the same surface indicating relatively uniform emission. For the N-doped samples, PEEM images were obtained for different photon energies ranging from 5.0 to 6.0 eV. In these experiments, the hydrogen terminated surface showed more intense PEEM images at lower photon energy indicating a lower photothreshold than annealed surfaces which are presumed to be adsorbate free. For the nitrides, the emission properties of an array of GaN emitter structures is imaged. Emission is observed from the peaks, and relatively uniform emission is observed from the array. The field at the sample surface is approximately 10 V/μm which is sufficient to obtain an image without UV light. This process is termed field emission electron microscopy (FEEM). DA - 1999/5// PY - 1999/5// DO - 10.1016/S0169-4332(99)00021-5 VL - 146 IS - 1-4 SP - 287-294 SN - 0169-4332 KW - diamond KW - photo-electron emission microscopy KW - field emission electron microscopy ER - TY - JOUR TI - Hydrogen plasma removal of post-RIE residue for backend processing AU - Somashekhar, A AU - Ying, H AU - Smith, PB AU - Aldrich, DB AU - Nemanich, RJ T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Reactive ion etching of a patterned silicon dioxide layer leaves behind a uniform fluorocarbon layer which must subsequently be removed. Both surface and via polymeric residues form during the reactive ion etch step and their removal using ‐based plasma clean processes is reported here. X‐ray photoelectron spectroscopy was used to determine the composition of the residue. Scanning electron microscope images were taken before and after the dry clean treatment to determine the effectiveness of the residue removal process. A radio‐frequency‐generated hydrogen plasma was used in the dry clean experiments. Power, temperature, and pressure were varied while gas flow was kept constant at 75 sccm and the process time was 5–10 min. The surface residue (on the oxide) was most efficiently removed at 400 W, 450°C, and 15 mTorr when exposed to the plasma for 10 min. The in‐via residue was best removed following a 5 min plasma exposure at 100 W, 450°C and 15 mTorr. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/6// PY - 1999/6// DO - 10.1149/1.1391933 VL - 146 IS - 6 SP - 2318-2321 SN - 0013-4651 ER - TY - JOUR TI - Growth of selective silicon epitaxy using disilane and chlorine on heavily implanted substrates - II. Role of implanted arsenic AU - PA O'Neil, AU - Ozturk, MC AU - Batchelor, AD AU - Venables, D AU - Maher, DM T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - In this report, we present results on the low thermal budget deposition of selective silicon epitaxy on heavily arsenic implanted substrates using and in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor. The selectivity of silicon to as well as the silicon growth kinetics, epitaxial quality, and dopant incorporation for varying substrate implant dose conditions and varying levels of chlorine during processing were investigated. We demonstrate that an increase in the arsenic implant dose can reduce the silicon growth by means of an inherent incubation time for deposition occurring in a chlorinated ambient. The extent to which the silicon growth suppression occurs, however, can be lessened by specific changes in the system conditions, and therefore, growth reductions due to arsenic can be minimized. In addition to changes in the silicon growth kinetics, arsenic implanted substrates have demonstrated a tendency to degrade the surface morphology and enhance the density of defects within the deposited silicon epitaxial films. Furthermore, by depositing the silicon film immediately following implantation and prior to any high temperature anneal, movement of arsenic into the deposited silicon layers has been observed at growth temperatures as low as 800°C. Therefore, the incorporation of arsenic into the deposited epitaxial films has been found to be controllable such that abrupt profiles or intentional diffuse structures can be achieved by variation of the process sequence and the annealing conditions. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/8// PY - 1999/8// DO - 10.1149/1.1392053 VL - 146 IS - 8 SP - 3079-3086 SN - 0013-4651 ER - TY - JOUR TI - Growth of selective silicon epitaxy using disilane and chlorine on heavily implanted substrates - I. Role of implanted BF2 AU - PA O'Neil, AU - Ozturk, MC AU - Batchelor, AD AU - Venables, D AU - Xu, MM AU - Maher, DM T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - In this report, we present results on the low thermal budget deposition of selective silicon epitaxy on heavily implanted substrates using and , in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor. Si growth kinetics, selectivity to , dopant incorporation, and epitaxial quality have been investigated for varying implant dose conditions and varying levels of chlorine during processing. Contrary to published reports, no significant selectivity degradation mechanism has been observed for oxides implanted, and therefore, damaged by ions. Additionally, with heavily implanted boron substrates no reduction in silicon growth occurred despite the presence of a hydrophilic substrate surface just prior to epitaxial growth. Although the hydrophilic surface did not affect the silicon growth rate, the epitaxial defect density did increase with increasing implant dose and chlorine flow rate during processing. The nature of these defects has been studied using atomic force microscopy and transmission electron microscopy. The incorporation of boron into the deposited epitaxial films has been investigated and abrupt profiles or intentionally diffuse structures were achieved through variation of the process sequence and annealing conditions. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/8// PY - 1999/8// DO - 10.1149/1.1392052 VL - 146 IS - 8 SP - 3070-3078 SN - 0013-4651 ER - TY - JOUR TI - Grafted polymer tail loop mixtures differing in chain length AU - Driscoll, DC AU - Gulati, HS AU - Spontak, RJ AU - Hall, CK T2 - POLYMER AB - Abstract Studies examining the structure of monolayers formed by polymer chains grafted to a surface typically focus on chains attached at one end (tails). Bond fluctuation simulations are performed here to probe monolayers composed of equimolar mixtures of tails and double-grafted loops in which the looped chain length (Nloop) is varied at constant surface density and tail length (Ntail). Loops force the tails to adopt an extended trajectory normal to the surface, resulting in monolayer stratification. As Nloop increases, the height of the tail sublayer increases to a maximum, and then decreases to converge with the loop sublayer as Nloop→2Ntail. These results are compared to predictions from a self-consistent field theory for bidisperse mixtures of grafted tails. DA - 1999/8// PY - 1999/8// DO - 10.1016/S0032-3861(98)00804-0 VL - 40 IS - 18 SP - 5207-5211 SN - 1873-2291 KW - grafted polymer chains KW - brushes KW - polymer thin films ER - TY - JOUR TI - Epitaxial lateral overgrowth of GaN on SiC and sapphire substrates AU - Yu, Z. H. AU - Johnson, M. A. L. AU - Brown, J. D. AU - El-Masry, N. A. AU - Muth, J. F. AU - Cook, J. W. AU - Schetzina, J. F. AU - Haberern, K. W. AU - Kong, H. S. AU - Edmond, J. S. T2 - MRS Internet Journal of Nitride Semiconductor Research AB - The epitaxial lateral overgrowth (ELO) process for GaN has been studied using SiC and sapphire substrates. Both MBE and MOVPE growth processes were employed in the study. The use of SiO 2 versus SiN x insulator stripes was investigated using window/stripe widths ranging from 20 μm/4 μm to 3 μm/15 μm. GaN film depositions were completed at temperatures ranging from 800 °C to 1120 °C. Characterization experiments included RHEED, TEM, SEM and cathodolumenescence studies. The MBE growth experiments produced polycrystalline GaN over the insulator stripes even at deposition temperatures as high as 990 °C. In contrast, MOVPE growth produced single-crystal GaN stripes with no observable threading dislocations. DA - 1999/// PY - 1999/// DO - 10.1557/s1092578300002878 VL - 4S1 IS - G4.3 ER - TY - JOUR TI - Electrostatic measurement of plasma plume characteristics in pulsed laser evaporated carbon AU - Mayo, RM AU - Newman, JW AU - Sharma, A AU - Yamagata, Y AU - Narayan, J T2 - JOURNAL OF APPLIED PHYSICS AB - A triple Langmuir probe measurement has been implemented to investigate plasma plume character in low fluence (∼3.0 J/cm2) pulsed laser evaporation (PLE) discharges and has been found to be an extremely valuable tool. Absolute plasma plume density estimates are found to reside in the range 1.0×1013–2.0×1014 cm−3 for vacuum pulses. A simple heavy particle streaming model for vacuum pulses allows estimates of the plume ionization fraction of ∼10%. This is consistent with typical deposition inventory suggesting that high kinetic energy ions may play an important role in diamond-like carbon (DLC) film deposition. Electron temperature inferred from the electrostatic probe is found to consistently reside in the range 0.5–3.0 eV, and appears to be uninfluenced by operating conditions and large variations in Ar and N2 fill gas pressure. Consistent with strong plume ion and neutral particle coupling to the background fill, constancy of Te suggests expulsion of background gas by the energetic plume. The leading edge ion plume speed is measured via temporal displacement of spatially separated probe signals on consecutive PLE pulses. Flow speeds as high as 5.0×104 m/s are observed, corresponding to ∼156 eV in C+. The ion flow speed is found to be a strongly decreasing function of fill pressure from an average high of ∼126 eV in vacuum to ∼0.24 eV at 600 mTorr N2. Raman scattering spectroscopy indicates DLC film quality also degrades with fill pressure suggesting the importance of high ion kinetic energy in producing good quality films, consistent with earlier work demonstrating the importance of energetic particles. Optical emission indicates an increase in C2 molecular light intensity with fill gas pressure implying a reduced, if any, role of these species in DLC production. Ion current signal anomalies are often seen during high pressure pulses. It is suggested that this may indicate the formation of high mass carbon clusters during plume evolution in the presence of background gas. Mass diffusivity estimates, based on density decay, suggest the presence of C2+ under these conditions. Demonstration and control of such cluster formation may provide method(s) for controlling novel advanced materials properties. DA - 1999/9/1/ PY - 1999/9/1/ DO - 10.1063/1.371135 VL - 86 IS - 5 SP - 2865-2871 SN - 0021-8979 ER - TY - JOUR TI - Effect of nitrogen incorporation on electron emission from chemical vapor deposited diamond AU - Park, M AU - Sowers, AT AU - Rinne, CL AU - Schlesser, R AU - Bergman, L AU - Nemanich, RJ AU - Sitar, Z AU - Hren, JJ AU - Cuomo, JJ AU - Zhirnov, , VV AU - Choi, WB T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - Two different types of the nitrogen-doped chemical vapor deposited (CVD) diamond films were synthesized with N2 (nitrogen) and C3H6N6 (melamine) as doping sources. The samples were analyzed by scanning electron microscopy, Raman scattering, photoluminescence spectroscopy, and field-emission measurements. More effective substitutional nitrogen doping was achieved with C3H6N6 than with N2. The diamond film doped with N2 contained a significant amount of nondiamond carbon phases. The sample produced with N2 exhibited a lower field emission turn-on field than the sample produced with C3H6N6. It is believed that the presence of the graphitic phases (or amorphous sp2 carbon) at the grain boundaries of the diamond and/or the nanocrystallinity (or microcrystallinity) of the diamond play a significant role in lowering the turn-on field of the film produced using N2. It is speculated that substitutional nitrogen doping plays only a minor role in changing the field emission characteristics of CVD diamond films. DA - 1999/// PY - 1999/// DO - 10.1116/1.590630 VL - 17 IS - 2 SP - 734-739 SN - 2166-2746 ER - TY - JOUR TI - Effect of heavy boron doping on oxide precipitate growth in Czochralski silicon AU - Ono, T AU - Asayama, E AU - Horie, H AU - Hourai, M AU - Sueoka, K AU - Tsuya, H AU - Rozgonyi, GA T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Oxide precipitate growth in boron‐doped Czochralski silicon wafers with resistivities ranging from 6 to 40 mΩ cm has been studied following prolonged annealing from 800 to 1000°C. Transmission electron microscopy revealed that (i) the growth rate of oxide platelet precipitates is proportional to the square root of time in 40 mΩ cm samples and (ii) the precipitate morphology changes from plate to polyhedral and strain around the precipitate decreases during annealing at 900°C in 6, 9, and 18 mΩ cm samples. These results indicate that changes in precipitate morphology occur because the oxygen precipitation and boron atom size effects are enhanced by increasing boron concentration. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/6// PY - 1999/6// DO - 10.1149/1.1391921 VL - 146 IS - 6 SP - 2239-2244 SN - 0013-4651 ER - TY - JOUR TI - Effect of composition on the morphology and electro-optical properties of physically crosslinked liquid crystals AU - Schneider, A AU - Geppert, S AU - Spontak, RJ AU - Gronski, W AU - Finkelmann, H T2 - LIQUID CRYSTAL MATERIALS AND DEVICES DA - 1999/// PY - 1999/// DO - 10.1557/proc-559-177 VL - 559 SP - 177-182 SN - 0272-9172 ER - TY - JOUR TI - Diamond films and composites on cobalt-chromium alloys AU - Godbole, VP AU - Narayan, R AU - Xu, Z AU - Narayan, J AU - Sankar, J T2 - MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY AB - Abstract Silicon, aluminum nitride (AlN) and titanium carbide (TiC) layers were deposited on cobalt–chromium alloys to investigate their utility as buffer layers for the synthesis of diamond films and composites. Silicon and aluminum nitride were found to react with the substrate at diamond deposition temperatures, via out-diffusion of cobalt from the substrate. The layers of titanium carbide, on the other hand, were found to be useful as a diffusion barrier for outward diffusion of cobalt and inward diffusion of carbon during diamond deposition, thus making enhanced nucleation and growth of high quality diamond possible. The continuous diamond coatings thus formed, however, tend to bulge and then crack due to thermal expansion mismatches between the TiC coated substrate and the overlayer diamond film. Composite coatings of AlN–diamond and TiC–diamond have, therefore, been synthesized by sequential deposition of component materials. These composite coatings consist of randomly interconnected micron sized diamond crystallites which are primarily surrounded by buffer material (AlN or TiC) while the contact area between neighbouring diamond crystallites is minimum. The presence of such a discontinuous morphology of diamond crystallites renders more toughness and also leads to compensation of stresses in localized regions (local stress management) and thus reduces bulging and subsequent delamination effects. DA - 1999/3/29/ PY - 1999/3/29/ DO - 10.1016/s0921-5107(98)00430-9 VL - 58 IS - 3 SP - 251-257 SN - 0921-5107 KW - alloy KW - cobalt-chromium KW - composite KW - diamond ER - TY - JOUR TI - Characterization of Be-implanted GaN annealed at high temperatures AU - Ronning, C. AU - Linthicum, K. J. AU - Carlson, E. P. AU - Hartlieb, P. J. AU - Thomson, D. B. AU - Gehrke, T. AU - Davis, R. F. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G3.17 ER - TY - JOUR TI - Ball indentation studies on the effect of aging on mechanical behavior of alloy 625 AU - Mathew, MD AU - Murty, KL AU - Rao, KBS AU - Mannan, SL T2 - MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING AB - The effect of aging on mechanical behavior of Alloy 625 was investigated using the non-destructive Stress–Strain Microprobe (SSM) system. SSM is based on an automated ball indentation (ABI) technique, and involves multiple indentations by a small spherical indenter at a single penetration location under strain-controlled conditions. The technique permits evaluation of mechanical properties such as yield strength, ultimate tensile strength, strength coefficient and strain hardening exponent. Alloy 625 was aged at six different temperatures in the range of 873–1173 K for 500 h each. ABI tests were carried out at room temperature and at 473 K. The variation of yield and ultimate tensile strengths with aging temperature exhibited a peak in strength following aging at 973 K. The peak stress was 1.5 times the strength of the unaged material, and the strength after aging at 1173 K was nearly equal to that of the unaged material. The peak in strength is attributed to the precipitation of the γ” phase. The fall in strength due to aging above 973 K is attributed to the precipitation, growth and dissolution of δ-phase precipitates. These studies demonstrate that ABI can be used as a non-destructive technique to determine changes in mechanical properties of nickel base alloy components due to aging. DA - 1999/5/31/ PY - 1999/5/31/ DO - 10.1016/s0921-5093(98)01098-3 VL - 264 IS - 1-2 SP - 159-166 SN - 0921-5093 KW - ball indentation studies KW - mechanical behavior KW - alloy 625 KW - aging KW - nondestructive testing ER - TY - JOUR TI - Accommodation of nonstoichiometry in (100) fiber-textured (BaxSr1-x)Ti1-yO3+z thin films grown by chemical vapor deposition AU - Stemmer, S AU - Streiffer, SK AU - Browning, ND AU - Kingon, AI T2 - APPLIED PHYSICS LETTERS AB - We have investigated the microstructural accommodation of nonstoichiometry in (BaxSr1−x)Ti1+yO3+z thin films grown by chemical vapor deposition. Films with y=0.04 and y=0.15 were studied by high-spatial resolution electron energy-loss spectroscopy, revealing changes in chemistry and local atomic environment both at grain boundaries and within grains as a function of titanium content. We find that excess titanium in the samples with y=0.15 segregates to the grain boundaries in addition to being partially accommodated in the grain interior. DA - 1999/4/26/ PY - 1999/4/26/ DO - 10.1063/1.123871 VL - 74 IS - 17 SP - 2432-2434 SN - 1077-3118 ER - TY - JOUR TI - Absorption coefficient and refractive index of GaN, AlN and AlGaN alloys AU - Muth, J. F. AU - Brown, J. D. AU - Johnson, M. A. L. AU - Yu, Z. H. AU - Kolbas, R. M. AU - Cook, J. W. AU - Schetzina, J. F. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G5.2 ER - TY - JOUR TI - A-methylstyrene AU - Kane, L. AU - Spontak, R.J. T2 - Polymer data handbook CN - TA455.P58 P675 1999 DA - 1999/// PY - 1999/// SP - 680-687 ER - TY - JOUR TI - A critical comparison between MOVPE and MBE growth of III-V nitride semiconductor materials for opto-electronic device applications AU - Johnson, M. A. L. AU - Yu, Z. H. AU - Brown, J. D. AU - Koeck, F. A. AU - El-Masry, N. A. AU - Kong, H. S. AU - Edmond, J. A. AU - Cook, J. W. AU - Schetzina, J. F. T2 - MRS Internet Journal of Nitride Semiconductor Research AB - A systematic study of the growth and doping of GaN, AlGaN, and InGaN by both molecular beam epitaxy (MBE) and metal-organic vapor phase epitaxy (MOVPE) has been performed. Critical differences between the resulting epitaxy are observed in the p-type doping using magnesium as the acceptor species. MBE growth, using rf-plasma sources to generate the active nitrogen species for growth, has been used for III-Nitride compounds doped either n-type with silicon or p-type with magnesium. Blue and violet light emitting diode (LED) test structures were fabricated. These vertical devices required a relatively high forward current and exhibited high leakage currents. This behavior was attributed to parallel shorting mechanisms along the dislocations in MBE grown layers. For comparison, similar devices were fabricated using a single wafer vertical flow MOVPE reactor and ammonia as the active nitrogen species. MOVPE grown blue LEDs exhibited excellent forward device characteristics and a high reverse breakdown voltage. We feel that the excess hydrogen, which is present on the GaN surface due to the dissociation of ammonia in MOVPE, acts to passivate the dislocations and eliminate parallel shorting for vertical device structures. These findings support the widespread acceptance of MOVPE, rather than MBE, as the epitaxial growth technique of choice for III-V nitride materials used in vertical transport bipolar devices for optoelectronic applications. DA - 1999/// PY - 1999/// DO - 10.1557/s1092578300003100 VL - 4S1 IS - G5.10 ER - TY - JOUR TI - Study of thin films polarity of group III nitrides AU - Dovidenko, K. AU - Oktyabrsky, S. AU - Narayan, J. AU - Razeghi, M. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G6.46 ER - TY - JOUR TI - Studies of hydrogen-induced degradation processes in SrBi2Ta2O9 ferroelectric film-based capacitors AU - Im, J AU - Auciello, O AU - Krauss, AR AU - Gruen, DM AU - Chang, RPH AU - Kim, SH AU - Kingon, AI T2 - APPLIED PHYSICS LETTERS AB - It is known that the forming gas (N2–H2 mixture) annealing process required for microcircuit fabrication results in an unacceptable electrical degradation of SrBi2Ta2O9 (SBT) ferroelectric capacitors due mainly to the interaction of H2 with the ferroelectric layer of the capacitor. We have found a strong relationship between changes in the surface composition of the ferroelectric layer and the electrical properties of SBT capacitors as a result of hydrogen annealing. Mass spectroscopy of recoiled ions (MSRI) analysis revealed a strong reduction in the Bi signal as a function of exposure to hydrogen at high temperatures (∼500 °C). The Bi signal reduction correlates with Bi depletion in the SBT surface region. Subsequent annealing in oxygen at temperatures in the range of 700–800 °C resulted in the recovery of the MSRI Bi signal, corresponding to the replenishment of Bi in the previously Bi-depleted surface region. X-ray diffraction (XRD) analysis (probing the whole SBT film thickness) showed little difference in the XRD spectra of the SBT films before and after hydrogen and oxygen-recovery annealing. The combined results of the MSRI and XRD analyses can be interpreted as an indication that the degradation of the electrical properties of the SBT capacitors, after hydrogen annealing, is mainly due to the degradation of the near surface region of the SBT layer. DA - 1999/2/22/ PY - 1999/2/22/ DO - 10.1063/1.123474 VL - 74 IS - 8 SP - 1162-1164 SN - 0003-6951 ER - TY - JOUR TI - Simulation of metallic impurity gettering in silicon by MeV ion implantation AU - Brown, R. A. AU - Kononchuk, O. AU - Rozgonyi, G. A. T2 - Nuclear Instruments & Methods in Physics Research. Section A, Accelerators, Spectrometers, Detectors, and Associated Equipment DA - 1999/// PY - 1999/// VL - 148 IS - 1-4 SP - 322-328 ER - TY - JOUR TI - Phonon dynamics and lifetimes of AlN and GaN crystallites AU - Bergman, L. AU - Alexson, D. AU - Nemanich, R. J. AU - Dutta, M. AU - Stroscio, M. A. AU - Balkas, C. AU - Davis, R. F. T2 - MRS Internet Journal of Nitride Semiconductor Research AB - The quasi-LO and quasi-TO modes of AlN crystallite were investigated. The analysis indicates that the Raman mode behavior concurs with Loudons’ model of mode-mixing in wurtzite (WZ) structure crystals which is due to the long-range electrostatic field. Phononlifetimes of GaN and AlN crystallites were studied via Raman lineshape. It was found that the low energy E2 mode lifetime is about an order of magnitude longer than that of the other modes, and that impurities impact significantly the phonon-lifetimes. DA - 1999/// PY - 1999/// DO - 10.1557/s1092578300003422 VL - 4S1 IS - G6.65 ER - TY - JOUR TI - Ni/Si-based contacts to GaN: Thermally activated structural transformations leading to ohmic behavior AU - Kaminska, E. AU - Piotrowska, A. AU - Jasinski, J. AU - Kozubowski, J. AU - Barcz, A. AU - Golaszewska, K. AU - Thomson, D. B. AU - Davis, R. F. AU - Bremser, M. D. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G9.9 ER - TY - JOUR TI - Crystal structure and defects in nitrogen-deficient GaN AU - Oktyabrsky, S. AU - Dovidenko, K. AU - Sharma, A. K. AU - Joshkin, V. AU - Narayan, J. T2 - MRS Internet Journal of Nitride Semiconductor Research DA - 1999/// PY - 1999/// VL - 4S1 IS - G6.43 ER - TY - JOUR TI - Combinatorial peptide on-resin analysis: optimization of static nanoelectrospray ionization technique for sequence determination AU - Biederman, KJ AU - Lee, H AU - Haney, CA AU - Kaczmarek, M AU - Buettner, JA T2 - JOURNAL OF PEPTIDE RESEARCH AB - The optimizations of static nanoelectrospray parameters to determine peptide or mimetic sequences released from resin were explored. Several different manufacturers of probe tips were utilized and a method was developed for the direct analysis of bead‐bound peptides by nanoelectrospray. The method involved minimum sample handling to assure maximum recovery from individual beads. Parameters that were explored included an inside and outside wash of the probe tip, the distance from the probe housing to the probe tip, source temperature, drying gas flow, individual tips and presence of beads. The same soluble synthetic peptide was used in all comparisons, which had a molecular weight of 717 amu. The discovery of the sequence of a bead‐bound peptide was achieved. The parameters that were found to effect signal were outside wash, presence of bead and distance. There was the need for pneumatic assist to initiate electrospray on some occasions, although this generally resulted in unsatisfactory performance. DA - 1999/3// PY - 1999/3// DO - 10.1034/j.1399-3011.1999.00047.x VL - 53 IS - 3 SP - 234-243 SN - 1397-002X KW - bead-bound peptide KW - electrospray mass spectrometry KW - nanoflow electrospray KW - peptide combinatorial library ER - TY - JOUR TI - Bias dependent contrast mechanisms in EBIC images of MOS capacitors AU - Kirk, HR AU - Radzimski, Z AU - Romanowski, A AU - Rozgonyi, GA T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Defects and inhomogeneities in the electrical properties of metal oxide silicon capacitors are analyzed by scanning electron microscopy, using the electron beam induced current technique (MOS/EBIC). All capacitors were analyzed in their as‐fabricated or prebreakdown condition. The collected signals and image contrast are found to be highly dependent on the gate‐to‐substrate bias applied during MOS/EBIC examination, and this bias‐dependence is shown to be correlated with the nature and physical location of the defect. Specific MOS defects were selected for this study according to their position in the substrate, in the oxide, or at the interface. Substrate defects examined were misfit dislocations in epitaxial Si(Ge) on Si. Interfacial inhomogeneities included thermally oxidized, reactive ion etched (RIE) Si surfaces, and precipitates or "D‐defects" which extend to meet the Si surface. Oxide layer inhomogeneities were also detectable which exhibited a strong contrast dependence on the oxide electric field strength. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/4// PY - 1999/4// DO - 10.1149/1.1391799 VL - 146 IS - 4 SP - 1529-1535 SN - 0013-4651 ER - TY - JOUR TI - The influences of reactant composition and substrate material on the combustion synthesis of diamond AU - Wolden, CA AU - Draper, CE AU - Sitar, Z AU - Prater, JT T2 - JOURNAL OF MATERIALS RESEARCH DA - 1999/1// PY - 1999/1// DO - 10.1557/JMR.1999.0038 VL - 14 IS - 1 SP - 259-269 SN - 0884-2914 ER - TY - JOUR TI - Mechanical properties of nanotubule fibers and composites determined from theoretical calculations and simulations (vol 36, pg 1, 1998) AU - Sinnott, S. B. AU - Shenderova, O. A. AU - White, C. T. AU - Brenner, D. W. T2 - Carbon DA - 1999/// PY - 1999/// VL - 37 IS - 2 SP - 347 ER - TY - PAT TI - Method of reducing the viscosity of a black liquor using thiocyanate salt AU - Spontak, R. J. AU - Roberts, J. E. AU - Prevysh, V. A. AU - Khan, S. A. C2 - 1999/// DA - 1999/// PY - 1999/// ER - TY - JOUR TI - Improvement of gate dielectric reliability for p plus poly MOS devices using remote PECVD top nitride deposition ultra-thin (2.4-6 nm) gate oxides AU - Wu, Y AU - Lucovsky, G T2 - MICROELECTRONICS RELIABILITY AB - Abstract Dual layer dielectrics have been formed by remote PECVD deposition of ultra-thin (0.4–1.2 nm) nitrides onto thin thermal oxides grown on n -type Si(100) substrates. Activation of boron-implanted p + polycrystalline silicon gate electrodes was accomplished by a high temperature anneal, 1–4 min at 1000°C. Boron penetration through the dielectric film to the n -type substrate was investigated by performing a quasi-static C – V analysis and monitoring the flatband voltage shift. Boron penetration was effectively stopped by a 0.8 nm nitride film, and partially stopped by a 0.4 nm nitride film. In addition, the charge to breakdown as monitored by the Q bd value to 50% cumulative failure was highest for the device with the 0.8 nm top nitride, and decreased significantly in the thermal oxide. However there were essentially no differences in the mid-gap interface state densities, D it , between oxide and nitride/oxide gate dielectric structures with Al gate. It is concluded that the 0.8 nm of plasma nitride was sufficient to block boron atom out-diffusion from a heavily implanted p + poly-silicon gate electrode under the conditions of an aggressive implant activation anneal to improve the dielectric reliability. DA - 1999/3// PY - 1999/3// DO - 10.1016/S0026-2714(98)00244-3 VL - 39 IS - 3 SP - 365-372 SN - 0026-2714 ER - TY - JOUR TI - Evidence for localized Si-donor state and its metastable properties in AlGaN AU - Skierbiszewski, C AU - Suski, T AU - Leszczynski, M AU - Shin, M AU - Skowronski, M AU - Bremser, MD AU - Davis, RF T2 - APPLIED PHYSICS LETTERS AB - Transport studies of AlxGa1−xN (0.5&lt;x&lt;0.6) doped with Si have been performed in the pressure range up to 1.4 GPa. For these alloys, the Si dopant forms two donor states. One of them has an effective mass character and the other one represents the localized state strongly coupled to the crystal lattice (metastable state). The localized state of Si forms the corresponding level in the gap for x exceeding 0.5. For the higher x, an increase of the activation energy of this state occurs. Metastable properties of the localized state of Si lead to a persistent photoconductivity effect and to a pressure induced freeze-out of electrons. DA - 1999/6/21/ PY - 1999/6/21/ DO - 10.1063/1.124195 VL - 74 IS - 25 SP - 3833-3835 SN - 0003-6951 ER - TY - JOUR TI - Effects of oxygen during selective silicon epitaxial growth using disilane and chlorine AU - PA O'Neil, AU - Ozturk, MC AU - Batchelor, AD AU - Xu, MM AU - Maher, DM T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - Using and in an ultrahigh‐vacuum rapid thermal chemical vapor deposition reactor, we have investigated the effects of oxygen introduced during selective silicon deposition for both chlorinated and nonchlorinated process chemistries. The effects of oxygen have been investigated with regard to oxygen incorporation, selectivity with respect to thermal , growth rate, and epitaxial structure. Initial studies have revealed that during silicon depositions from , the inherent selectivity of to is enhanced upon the addition of oxygen to the process ambient. Furthermore, using a nonchlorinated process chemistry, oxygen adsorbs predominantly at the epitaxy‐substrate interface and causes increased surface roughness. We have found, however, that the addition of chlorine can play a significant role in the passivation of the epitaxy‐substrate interface with oxygen and improves the resulting film's surface morphology. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/6// PY - 1999/6// DO - 10.1149/1.1391938 VL - 146 IS - 6 SP - 2344-2352 SN - 0013-4651 ER - TY - JOUR TI - Effect of growth temperature on point defect density of unintentionally doped GaN grown by metalorganic chemical vapor deposition and hydride vapor phase epitaxy AU - Joshkin, VA AU - Parker, CA AU - Bedair, SM AU - Muth, JF AU - Shmagin, IK AU - Kolbas, RM AU - Piner, EL AU - Molnar, RJ T2 - JOURNAL OF APPLIED PHYSICS AB - We report on the investigation of the effect of growth temperature on point defect density of unintentionally doped GaN grown by atmospheric pressure metalorganic chemical vapor deposition and hydride vapor phase epitaxy. A correlation between photoluminescence (PL) spectra and the concentration of donors and acceptors in unintentionally doped GaN is presented. The effects of oxygen and native acceptors on the electrical and optical properties of GaN epitaxial layers are discussed and a classification of PL data is presented. On this basis we show that oxygen creates a shallow donor in GaN with an activation energy of about 23.5±1 meV. We determine that the concentration of native acceptors in GaN increases with an increase in growth temperature. These native acceptors, probably gallium antisites (GaN) and/or gallium vacancies (VGa), are nonradiative defects. We show that a second donor level in GaN has an activation energy of about 52.5±2.5 meV and produces a PL peak with an energy of about 3.45 eV at low temperatures. From Hall investigations we show that a third donor in GaN has an activation energy of 110±10 meV. DA - 1999/7/1/ PY - 1999/7/1/ DO - 10.1063/1.370727 VL - 86 IS - 1 SP - 281-288 SN - 0021-8979 ER - TY - JOUR TI - Turbellarian infection of carangids. AU - Noga, EJ AU - Smith, J AU - Smith, SA T2 - JOURNAL OF FISH DISEASES DA - 1999/11// PY - 1999/11// DO - 10.1046/j.1365-2761.1999.00187.x VL - 22 IS - 6 SP - 489-491 SN - 0140-7775 ER - TY - JOUR TI - Pre-television stereotypes: Mexicans in US newsreels, 1919-1932 AU - Johnson, MA T2 - CRITICAL STUDIES IN MASS COMMUNICATION AB - This study explored images of Mexicans and dominant symbols in early U.S. newsreels, whether they changed throughout the 1920s, and whether they reflected the era's film stereotypes. Dominant images were dignitaries, doers, beauties, bystanders, and clerics. Symbols of modernity and class outweighed traditional images. Negative symbols like dirt or weapons were not prevalent. After 1924, symbols of literacy, diplomacy, and construction were more visible. The study provides an historical context for Latino television news images today. In addition, it reflects mass media's role in reinforcing modernity and spectatorship‐allowing power of the gaze comparisons between modern and postmodern eras. DA - 1999/12// PY - 1999/12// DO - 10.1080/15295039909367109 VL - 16 IS - 4 SP - 417-435 SN - 0739-3180 ER - TY - JOUR TI - P-methylstyrene AU - Smith, A. P. AU - Spontak, R. J. T2 - Polymer data handbook CN - TA455.P58 P675 1999 DA - 1999/// PY - 1999/// SP - 688-695 ER - TY - JOUR TI - Relaxation phenomena in GaN/ AlN/ 6H-SiC heterostructures AU - Edwards, N. V. AU - Batchelor, A. D. AU - Buyanova, I. A. AU - Madsen, L. D. AU - Bremser, M. D. AU - Davis, R. F. AU - Aspnes, D. E. AU - Monemar, B. T2 - MRS Internet Journal of Nitride Semiconductor Research AB - We have developed a method to modulate the strain state (normally > 4 kbar, tensile) of moderately thick (∼2 μm) GaN based structures grown on 6H-SiC to a range 0 to -2 kbar of compressive stresses by introducing a strain-mediating layer (SML) above the standard high-temperature AlN buffer layer. The strain characteristics of subsequently deposited nitride layers can be modulated by changing the growth parameters of the SML layer. This is achieved by in-situ techiniques during crystal growth without degrading the optical and structural properties of the deposited layers. DA - 1999/// PY - 1999/// DO - 10.1557/s1092578300002830 VL - 4S1 IS - G3.78 ER - TY - JOUR TI - Raman analysis and field emission study of ion beam etched diamond films AU - Park, M AU - McGregor, DR AU - Bergman, L AU - Nemanich, RJ AU - Hren, JJ AU - Cuomo, JJ AU - Choi, WB AU - Zhirnov, , VV T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B AB - Discontinuous diamond films were deposited on silicon using a microwave plasma chemical vapor deposition system. The diamond deposits were sharpened by argon ion beam etching. Raman spectroscopy was carried out to study the structural change of the diamond after ion beam bombardment. It was found that amorphous sp2 carbon is produced as diamond is being sputtered by the Ar ion beam. The field emission turn-on field was also drastically lowered after sharpening, which, it is speculated, is caused by field enhancement due to change in geometry and/or structural changes (such as amorphization of crystalline diamond into graphitic or amorphous sp2 carbon) by Ar ion irradiation. DA - 1999/// PY - 1999/// DO - 10.1116/1.590622 VL - 17 IS - 2 SP - 700-704 SN - 2166-2746 ER - TY - JOUR TI - Non-destructive studies on tensile and fracture properties of molybdenum at low temperatures (148 to 423 K) AU - Mathew, MD AU - Murty, KL T2 - JOURNAL OF MATERIALS SCIENCE DA - 1999/4/1/ PY - 1999/4/1/ DO - 10.1023/A:1004547709783 VL - 34 IS - 7 SP - 1497-1503 SN - 0022-2461 ER - TY - JOUR TI - Mesogel networks via selective midblock swelling of lamellar triblock copolymers AU - King, MR AU - White, SA AU - Smith, SD AU - Spontak, RJ T2 - LANGMUIR AB - A lamellar ABA triblock copolymer brought to equilibrium in the presence of a B-compatible solvent generally swells or transforms into an A-dispersed (cylindrical or micellar) morphology, depending on solvent content. If the A blocks of the copolymer are glassy, they serve as physical cross-links and stabilize a gel network in which A microdomains are linked through a combination of connected B bridges and entangled B loops. An alternate route by which to introduce solvent into a triblock copolymer, as well as retain the local molecular anisotropy (and bridge population) of the initial lamellar morphology, is to selectively swell the B block and, at sufficiently high solvent concentrations, produce a mesogel. In this work, we describe the formation of mesogels from two chemically related triblock copolymers and employ dynamic mechanical analysis and transmission electron microscopy to examine the features of the resultant nonequilibrium materials. DA - 1999/11/9/ PY - 1999/11/9/ DO - 10.1021/la9816407 VL - 15 IS - 23 SP - 7886-7889 SN - 0743-7463 ER - TY - JOUR TI - Initial stages of yield in nanoindentation AU - Kiely, JD AU - Jarausch, KF AU - Houston, JE AU - Russell, PE T2 - JOURNAL OF MATERIALS RESEARCH AB - We have used the interfacial force microscope to perform nanoindentations on Au single-crystal surfaces. We have observed two distinct regimes of plastic deformation, which are distinguished by the magnitude of discontinuities in load relaxation. At lower stresses, relaxation occurs in small deviations from elastic behavior, while at the higher stresses they take the form of large load drops, often resulting in complete relaxation of the applied load. These major events create a relatively wide plastic zone that subsequently deepens more rapidly than it widens. We discuss these findings in terms of contrasting models of dislocation processes in the two regimes. DA - 1999/6// PY - 1999/6// DO - 10.1557/JMR.1999.0298 VL - 14 IS - 6 SP - 2219-2227 SN - 0884-2914 ER - TY - JOUR TI - Gettering at vacancy and interstitial-rich regions in MeV ion implanted silicon AU - Beaman, K. L. AU - Glasko, J. M. AU - Koveshnikov, S. V. AU - Rozgonyi, G. A. T2 - Diffusion and Defect Data. [Pt. B], Solid State Phenomena DA - 1999/// PY - 1999/// VL - 70 IS - 1999 SP - 247-252 ER - TY - JOUR TI - Ferroelectric films and devices AU - Kingon, A. I. AU - Streiffer, S. K. T2 - Current Opinion in Solid State and Materials Science DA - 1999/// PY - 1999/// VL - 4 IS - 1 SP - 39-44 ER - TY - JOUR TI - Dry ex situ cleaning processes for (0001)(Si) 6H-SiC surfaces AU - King, SW AU - Nemanich, RJ AU - Davis, RF T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY AB - A completely dry ex situ cleaning process based on oxidation and HF vapor exposure for removal of residual C and oxide, respectively, from [the silicon‐terminated surface of SiC] 6H‐SiC surfaces to levels equivalent to or better than conventional wet chemical ex situ processing has been demonstrated. X‐ray photoelectron spectroscopy (XPS) of surfaces exposed to UV‐generated ozone revealed the formation of carbon and silicon oxides, as indicated by the broad Si‐O Si 2p peak at 102.4 eV (full width at half‐maximum = 2.1 eV) and a shift in the surface C 1s peak from 283.6 to 284.2 eV, respectively. Evidence for a reduction in the amount of surface C was shown by an increase in the ratio of the SiC C peak to the surface C peak from 0.8 to 2.7 after the treatment. Removal of the silicon oxide via exposure to the vapor from a 10:1 buffered HF solution was indicated by the absence (below the XPS detection limit) of the Si‐O Si 2p peak at 102.4 eV. However, this last process results in a F‐terminated surface. © 1999 The Electrochemical Society. All rights reserved. DA - 1999/7// PY - 1999/7// DO - 10.1149/1.1391986 VL - 146 IS - 7 SP - 2648-2651 SN - 0013-4651 ER - TY - JOUR TI - Discrete-element modeling of shock compression of polycrystalline copper AU - Yano, K AU - Horie, Y T2 - PHYSICAL REVIEW B AB - Shock compression of polycrystalline copper was numerically investigated by use of a discrete-element model to highlight underlying heterogeneous and nonequilibrium processes at the grain level. The average diameter of model grains was 12 \ensuremath{\mu}m. Results show highly transient vortical flow fields and strong particle velocity dispersion that are consistent with the experimental results of Mescheryakov and his associates. Characteristic times for these phenomena were on the order of acoustic propagation times across the grains. The number of vortices increased with shock strength, but their size decreased almost inversely. Ejection of copper particles from the back free surface of the specimen was also observed. The cause of ejection is grain boundary cracking. DA - 1999/6/1/ PY - 1999/6/1/ DO - 10.1103/physrevb.59.13672 VL - 59 IS - 21 SP - 13672-13680 SN - 2469-9969 ER - TY - JOUR TI - Device physics - Memories are made of ... AU - Kingon, A T2 - NATURE AB - One elusive goal in semiconductor technology is realizing the ideal nonvolatile memory -- that is, one that retains information when the power is switched off without battery back-up. In this context, so-called ferroelectric random access memories are promising. Work on a particular form of ferroelectric material provides encouraging evidence that it has the desired properties for nonvolatile memory. DA - 1999/10/14/ PY - 1999/10/14/ DO - 10.1038/44307 VL - 401 IS - 6754 SP - 658-659 SN - 0028-0836 ER - TY - JOUR TI - Determination of the critical layer thickness in the InGaN/GaN heterostructures AU - Parker, CA AU - Roberts, JC AU - Bedair, SM AU - Reed, MJ AU - Liu, SX AU - El-Masry, NA T2 - APPLIED PHYSICS LETTERS AB - We present an approach to determine the critical layer thickness in the InxGa1−xN/GaN heterostructure based on the observed change in the photoluminescence emission as the InxGa1−xN film thickness increases. From the photoluminescence data, we identify the critical layer thickness as the thickness where a transition occurs from the strained to unstrained condition, which is accompanied by the appearance of deep level emission and a drop in band edge photoluminescence intensity. The optical data that indicate the onset of critical layer thickness, was also confirmed by the changes in InxGa1−xN surface morphology with thickness, and is consistent with x-ray diffraction measurements. DA - 1999/11/1/ PY - 1999/11/1/ DO - 10.1063/1.125146 VL - 75 IS - 18 SP - 2776-2778 SN - 0003-6951 ER - TY - JOUR TI - Cubic GaN formation under nitrogen-deficient conditions AU - Oktyabrsky, S AU - Dovidenko, K AU - Sharma, AK AU - Narayan, J AU - Joshkin, V T2 - APPLIED PHYSICS LETTERS AB - We have studied crystal structure and associated defects in GaN/α-Al2O3 (0001) films grown under nitrogen-deficient conditions by metalorganic chemical vapor deposition and pulsed laser deposition. N-deficient films exhibit polycrystalline structure with a mixture of cubic zinc-blende and wurtzite hexagonal GaN grains retaining tetragonal bonding across the boundaries and hence the epitaxial orientations and polarity. Renucleation of the wurtzite phase at different {111} planes of cubic GaN results in a rough and faceted surface of the film. We elucidate that the cubic phase is more stable under the nitrogen deficiency. DA - 1999/4/26/ PY - 1999/4/26/ DO - 10.1063/1.123882 VL - 74 IS - 17 SP - 2465-2467 SN - 0003-6951 ER - TY - JOUR TI - Creep studies for Zircaloy life prediction in water reactors AU - Murty, KL T2 - JOM-JOURNAL OF THE MINERALS METALS & MATERIALS SOCIETY DA - 1999/10// PY - 1999/10// DO - 10.1007/s11837-999-0184-6 VL - 51 IS - 10 SP - 32-39 SN - 1047-4838 ER - TY - JOUR TI - Cobalt suicide formation on 6H silicon carbide AU - Porto, AO AU - Boyanov, BI AU - Sayers, DE AU - Nemanich, RJ T2 - JOURNAL OF SYNCHROTRON RADIATION AB - Cobalt films (1, 25 and 100 A) have been directly deposited on top 6H-SiC(0001) wafers by molecular beam epitaxy and annealed at 500-800°C in UHV. The structure of the metal-semiconductor interface was investigated by XAFS. The results show that Co-Si bonds were preferentially formed in the 1 A Co films. In the 25 and 100 A Co films only Co-Co bonds were identified. The XRD pattern of the 100 A Co film exhibits a Co (200) peak confirming the presence of unreacted metal even after annealing at 800°C. DA - 1999/5/1/ PY - 1999/5/1/ DO - 10.1107/S0909049599001326 VL - 6 SP - 188-189 SN - 0909-0495 KW - Silicon Carbide KW - metal-semiconductor contacts KW - molecular beam epitaxy ER - TY - JOUR TI - Band offsets for ultrathin SiO2 and Si3N4 films on Si(111) and Si(100) from photoemission spectroscopy AU - Keister, J. W. AU - Rowe, J. E. AU - Kolodziej, J. J. AU - Niimi, H. AU - Madey, T. E. AU - Lucovsky, G. T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures DA - 1999/// PY - 1999/// VL - 17 IS - 4 SP - 1831-1835 ER - TY - JOUR TI - Thermophysical properties of alpha-tungsten carbide AU - Reeber, R. R. AU - Wang, K. T2 - Journal of the American Ceramic Society DA - 1999/// PY - 1999/// VL - 82 IS - 1 SP - 129-135 ER -