TY - CHAP
TI - High-brightness AlGaInN light-emitting diodes
T2 - Light-Emitting Diodes: Research, Manufacturing, and Applications Iv
A2 - Yao, H. W.
A2 - Ferguson, I. T.
A2 - Schubert, E. F.
AB - Currently, commercial LEDs based on AlGaInN emit light efficiently from the ultraviolet-blue to the green portion of the visible wavelength spectrum. Data are presented on AlGaInN LEDs grown by organometallic vapor phase epitaxy (OMVPE). Designs for high-power AlGaInN LEDs are presented along with their performance in terms of output power and efficiency. Finally, present and potential applications for high-power AlGaInN LEDs, including traffic signals and contour lighting, are discussed.
PY - 2000///
DO - 10.1117/12.382822
VL - 3938
SP - 2-12
PB -
SE -
UR - http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000087782000001&KeyUID=WOS:000087782000001
ER -
TY - CHAP
TI - Impairments of taste and smell
AU - Schiffman, S.S.
T2 - Oxford textbook of geriatric medicine
A2 - Evans, J. G.
A2 - Williams, T. F.
A2 - Beattie, B. L.
A2 - Michel, J-P.
A2 - Wilcock, G. K.
PY - 2000///
SP - 899-906
PB - Oxford University Press
ER -
TY - CONF
TI - Introducting fuzz to neural network training
AU - Kermani, B.G.
AU - Schiffman, S.S.
AU - Nagle, H.T.
T2 - 2000 IEEE International symposium on circuits and systems
C2 - 2000///
C3 - 2000 IEEE International symposium on circuits and systems
CY - Geneva, Switzerland
DA - 2000///
PY - 2000/5/28/
ER -
TY - CONF
TI - Measurement of odor and odorants from swine facilities
AU - Schiffman, S.S.
AU - Bennett, J.
AU - Raymer, J.H.
T2 - Thirteenth international symposium olfaction & taste (ISOT 2000) and Fourteenth European Chemoreception Research Organisation congress (ECRO 2000)
C2 - 2000///
C3 - Proceedings of the thirteenth international symposium olfaction & taste (ISOT 2000) and Fourteenth European Chemoreception Research Organisation congress (ECRO 2000)
DA - 2000///
PY - 2000///
SP - 94
ER -
TY - CONF
TI - Odor: Quantification and health impacts.
AU - Schiffman, S.S.
T2 - 2K Composting in the Southeast
C2 - 2000/10//
C3 - Proceedings of Y2K Composting in the Southeast
CY - Charlottesville, VA
DA - 2000/10//
PY - 2000///
ER -
TY - JOUR
TI - Taste effects of antiretroviral drugs on chorda tympani responses in gerbil
AU - Schiffman, Susan
AU - Suggs, Mark
AU - Heald, Alison
T2 - Sensory Neuron
AB - In clinical reports, HIV-infected patients complain that antiretroviral drugs produce unpleasant tastes that affect compliance with their medication regimen. In this study, taste effects of seven antiretroviral drugs (protease inhibitors and nucleoside analogs) were investigated in a gerbil model. Electrophysiological recordings were obtained from the chorda tympani nerve after lingual application of HIV medications. The effect of adaptation of the tongue to HIV medications on other taste stimuli with salty, sweet, sour and bitter qualities was also determined to simulate the presence of drug in the saliva. Four drugs (ritonavir, lamivudine, indinavir and didanosine) produced taste responses in the chorda tympani nerve of the gerbil at 0.625 mM and higher. Zidovudine, saquinavir and stavudine gave no taste responses at concentrations below 10 mM. The protease inhibitors saquinavir (2 mM) and ritonavir (10 mM) suppressed most taste stimuli with the greatest effect on bitter and sweet qualities. The nucleoside analog lamivudine gave a taste response at 20 mM and produced the greatest suppression on sour tastes. Results show that protease inhibitors had a more potent effect on chorda tympani responses in gerbil than nucleoside analogs.
DA - 2000/9/28/
PY - 2000/9/28/
DO - 10.1163/156856500744766
VL - 3
IS - 2
SP - 97-108
LA - en
OP -
SN - 1567-5157 1568-5659
UR - http://dx.doi.org/10.1163/156856500744766
DB - Crossref
ER -
TY - JOUR
TI - Elevated and sustained desire for sweet taste in African-Americans: a potential factor in the development of obesity
AU - Schiffman, Susan S
AU - Graham, Brevick G
AU - Sattely-Miller, Elizabeth A
AU - Peterson-Dancy, Measha
T2 - Nutrition
AB - Oral habituation is a relatively long-lasting decrease in oral responsiveness that results from the repeated presentation of a single stimulus. The purpose of this study was to evaluate the degree of habituation to sweet-tasting foods and to determine whether there are differences in the rate of habituation between African Americans and European Americans. These two groups were compared because the prevalence of obesity and obesity-related disorders such as diabetes and hypertension is significantly higher among African Americans than among European Americans. Nine different commercial foods and beverages that differed in sweetness intensity and caloric density served as stimuli. Subjects tasted and rated each food once per minute for a 30-min period on scales related to desire for another taste of the same sample and desire for a different taste. The stimuli and portion size for each of the 30 samples were two candy bars (Ultra Slim-Fast Cocoa Almond Crunch Bar, 1/16 of a bar; Natural Nectar Peanut Butter Granola Bar, 1/16 of a bar), three beverages (Nestea Lemon Flavored Instant Tea with NutraSweet, 5 mL; Welch's Grape Juice, 5 mL; Pink Swimmingo Kool-Aid, 5 mL), two gelatin desserts (Cherry Flavored Jell-O Gelatin, 5 g; Cherry Flavored Jell-O Gelatin with NutraSweet, 5 g), one enteral nutrition drink (Vanilla Ensure Plus, 5 mL), and one pudding (Ultra Slim-Fast Chocolate Pudding, 5 g). Subjects consumed the entire portion of each sample. Habituation occurred for seven of the nine foods as judged by a decrease in the desire for another taste of the same food. The degree of habituation for European Americans and African Americans was similar except for the sweetest food (Cherry Flavored Jell-O Gelatin with NutraSweet), for which African Americans showed no habituation. The degree of habituation in both groups was unrelated to caloric density. Overall, young African Americans had a significantly greater desire for another taste of the same food than did young European Americans for seven of the nine foods, and this desire was strongly correlated with the sweetness intensity for young African Americans but not for young European Americans. Furthermore, young African Americans had a greater desire than young European Americans for a different taste for seven of nine foods. The greater desire for intense sweet tastes may be a factor in the elevated incidence of obesity and diabetes in African Americans. In addition, young African Americans had greater perceived stress in this study than did young European Americans. If African Americans use sweet taste to compensate for feelings of stress, this compensation may also contribute to weight gain.
DA - 2000/10//
PY - 2000/10//
DO - 10.1016/s0899-9007(00)00403-2
VL - 16
IS - 10
SP - 886-893
J2 - Nutrition
LA - en
OP -
SN - 0899-9007
UR - http://dx.doi.org/10.1016/s0899-9007(00)00403-2
DB - Crossref
ER -
TY - JOUR
TI - Potential Health Effects of Odor from Animal Operations, Wastewater Treatment, and Recycling of Byproducts
AU - Schiffman, Susan S.
AU - Walker, John M.
AU - Dalton, Pam
AU - Lorig, Tyler S.
AU - Raymer, James H.
AU - Shusterman, Dennis
AU - Williams, C. Mike
T2 - Journal of Agromedicine
AB - ABSTRACT Complaints of health symptoms from ambient odors have become more frequent in communities with confined animal facilities, wastewater treatment plants, and biosolids recycling operations. The most frequently reported health complaints include eye, nose, and throat irritation, headache, nausea, diarrhea, hoarseness, sore throat, cough, chest tightness, nasal congestion, palpitations, shortness of breath, stress, drowsiness, and alterations in mood. Typically, these symptoms occur at the time of exposure and remit after a short period of time. However, for sensitive individuals such as asthmatic patients, exposure to odors may induce health symptoms that persist for longer periods of time as well as aggravate existing medical conditions. A workshop was held at Duke University on April 16-17, 1998 cosponsored by Duke University, the Environmental Protection Agency (EPA), and National Institute on Deafness and Other Communication Disorders (NIDCD) to assess the current state of knowledge regarding the health effects of ambient odors. This report summarizes the conclusions from the Workshop regarding the potential mechanisms responsible for health symptoms from ambient odors. Methods for validation of health symptoms, presence of odor, and efficacy of odor management techniques are described as well.
DA - 2000/12/7/
PY - 2000/12/7/
DO - 10.1300/j096v07n01_02
VL - 7
IS - 1
SP - 7-81
J2 - Journal of Agromedicine
LA - en
OP -
SN - 1059-924X 1545-0813
UR - http://dx.doi.org/10.1300/j096v07n01_02
DB - Crossref
ER -
TY - JOUR
TI - Effect of antimicrobial and anti-inflammatory medications on the sense of taste
AU - Schiffman, Susan S
AU - Zervakis, Jennifer
AU - Westall, Holly L
AU - Graham, Brevick G
AU - Metz, Anna
AU - Bennett, Jeanette L
AU - Heald, Alison E
T2 - Physiology & Behavior
AB - Elderly individuals and HIV-infected patients have a disproportionate number of taste complaints relative to the general population, and these taste alterations are correlated with the use of medications. Clinical reports of taste disorders have been associated with many drugs, including antimicrobial and anti-inflammatory medications. The purpose of this study was to quantify the taste effects of 6 nonsteroidal anti-inflammatory drugs (NSAIDS) and 13 antimicrobial drugs. The six NSAIDS were: diclofenac sodium salt, fenoprofen calcium salt, ibuprofen, ketoprofen, nabumetone, and sulindac. The 13 antimicrobials were: acyclovir, ampicillin, atovaquone, dapsone, enoxacin, ethambutol, lomefloxacin HCl, ofloxacin, pentamidine isethionate, pyrimethamine, sulfamethoxazole, tetracycline HCl, and trimethoprim. These 19 medications were applied topically to the tongues of unmedicated young and elderly volunteers as well as unmedicated HIV-infected patients to measure the direct effect of the drug on taste receptors. Topical application of drugs to the apical tongue surface was used to mimic the situation in which the drug is secreted into the saliva. The main finding was that the taste qualities of these drugs were perceived as predominantly bitter, metallic, and/or sour, although several did not have a taste. Elderly subjects had higher thresholds than young subjects for one-third of the drugs that were tested. Thresholds for HIV-infected patients were statistically equivalent to young controls; however, HIV-infected patients rated the drugs as more intense at four times above the detection threshold than young subjects. Most of these drugs when applied directly to the tongue also modified the taste intensity of other tastants (e.g., NaCl, citric acid).
DA - 2000/6//
PY - 2000/6//
DO - 10.1016/s0031-9384(99)00262-0
VL - 69
IS - 4-5
SP - 413-424
J2 - Physiology & Behavior
LA - en
OP -
SN - 0031-9384
UR - http://dx.doi.org/10.1016/s0031-9384(99)00262-0
DB - Crossref
ER -
TY - JOUR
TI - Taste effects of lingual application of cardiovascular medications
AU - Zervakis, Jennifer
AU - Graham, Brevick G
AU - Schiffman, Susan S
T2 - Physiology & Behavior
AB - Medications used to treat cardiovascular diseases such as congestive heart failure, high blood pressure, and arrhythmia, are prescribed extensively in Western countries. However, taste complaints are common side effects of many of these cardiovascular medications. Although clinical observations are helpful in determining potential taste problems from a medication, experimental studies are necessary to obtain quantitative data on taste. In the studies performed here, nine cardiovascular medications (labetalol HCl, captopril, diltiazem HCl, enalapril maleate, hydrochlorothiazide, propranolol HCl, mexiletine HCl, procainamide HCl, and propafenone HCl) were applied to the tongue in human volunteers to measure the direct effect of these drugs on taste receptors. The medications were applied topically to the tongue surface of both young and elderly subjects to mimic the situation in which the drug is secreted into the saliva. Detection thresholds ranged from 0.048 mM (propafenone) to 0.438 mM (procainamide). The detection thresholds of healthy elderly subjects did not significantly differ from young controls. The compounds tested had a predominantly bitter taste with other qualities as well. In addition, topical application of the medications to the tongue affected the taste of one or more taste stimuli, with medications differing in the pattern of taste effects exhibited. The mechanism of taste effects is not fully known, but the results of this study suggest one route may be due to medications' effect on peripheral taste receptors.
DA - 2000/1//
PY - 2000/1//
DO - 10.1016/s0031-9384(99)00208-5
VL - 68
IS - 3
SP - 405-413
J2 - Physiology & Behavior
LA - en
OP -
SN - 0031-9384
UR - http://dx.doi.org/10.1016/s0031-9384(99)00208-5
DB - Crossref
ER -
TY - JOUR
TI - Effect of temperature, pH, and ions on sweet taste
AU - Schiffman, S
AU - Sattelymiller, E
AU - Graham, B
AU - Bennett, J
AU - Booth, B
AU - Desai, N
AU - Bishay, I
T2 - Physiology & Behavior
AB - The purpose of this experiment was to determine the effects of temperature (50°C and 6°C), pH (pH 3.0, 4.0, 5.0, 6.0, and 7.0) and the addition of monovalent and divalent cations (5 mM Na+ , 5 mM K+ , and 5 mM Ca2+ ) on the sweetness intensity ratings of sweeteners ranging widely in chemical structure. A trained panel provided intensity evaluations for prototypical tastes (sweet, bitter, sour, and salty) as well as aromatic and mouth-feel attributes. The following sweeteners were included in this experiment: three sugars (fructose, glucose, sucrose), three terpenoid glycosides (monoammonium glycyrrhizinate, rebaudioside-A, stevioside), two polyhydric alcohols (mannitol, sorbitol), two dipeptide derivatives (alitame, aspartame), two N-sulfonylamides (acesulfame-K, sodium saccharin), one sulfamate (sodium cyclamate), one protein (thaumatin), one dihydrochalcone (neohesperidin dihydrochalcone), and one chlorodeoxysugar (sucralose). Two to five levels of each sweetener reflecting a range of sweetness intensities were tested, using formulae developed by DuBois et al. The main finding from this three-part study was that temperature, pH, and ions had little effect on perceived sweetness intensity. Even when significant differences were found in the temperature study, the effects were very small.
DA - 2000/2//
PY - 2000/2//
DO - 10.1016/s0031-9384(99)00205-x
VL - 68
IS - 4
SP - 469-481
J2 - Physiology & Behavior
LA - en
OP -
SN - 0031-9384
UR - http://dx.doi.org/10.1016/s0031-9384(99)00205-x
DB - Crossref
ER -
TY - JOUR
TI - Taste quality and neural coding
AU - Schiffman, Susan S
T2 - Physiology & Behavior
AB - Historically, taste research has often been guided by the concept that there are only four (or possibly five) basic taste qualities (sweet, sour, salty, and bitter, and possibly "umami"). All other tastes have been presumed to be combinations of these basic tastes. This psychophysical concept has been extended to electrophysiological data. That is, the neural code for each basic taste is hypothesized to be coded by a dedicated channel of neurons (the "Labeled-Line" theory); i.e., one group of neurons signals "salty" and another separate group signals "sweet." Numerous psychophysical and electrophysiological findings, however, cannot be accomodated by this quadripartite theory, which limits taste to four basic qualities and four basic neuron types. Rather, the data described in this article suggest that the range of taste is more extensive than four or five basic tastes, and that this breadth of taste quality results initially from the activation of a broad array of ion channels, receptors, and second messengers associated with taste cell membranes. These findings have implications for neural organization and provide support for the "Across-Fiber Pattern" theory in which the neural code for taste is represented by the pattern of activity across all of the neurons, i.e., neurons are not exclusively labeled for a particular sensation but cooperate with the others in the ensemble to encode taste quality.
DA - 2000/4//
PY - 2000/4//
DO - 10.1016/s0031-9384(00)00198-0
VL - 69
IS - 1-2
SP - 147-159
J2 - Physiology & Behavior
LA - en
OP -
SN - 0031-9384
UR - http://dx.doi.org/10.1016/s0031-9384(00)00198-0
DB - Crossref
ER -
TY - JOUR
TI - Taste and smell perception affect appetite and immunity in the elderly
AU - Schiffman, SS
AU - Graham, BG
T2 - European Journal of Clinical Nutrition
DA - 2000/6/1/
PY - 2000/6/1/
DO - 10.1038/sj.ejcn.1601026
VL - 54
IS - S3
SP - S54-S63
J2 - Eur J Clin Nutr
LA - en
OP -
SN - 0954-3007 1476-5640
UR - http://dx.doi.org/10.1038/sj.ejcn.1601026
DB - Crossref
ER -
TY - JOUR
TI - Synergism among Ternary Mixtures of Fourteen Sweeteners
AU - Schiffman, S. S.
T2 - Chemical Senses
AB - The purpose of the present study was to determine the degree of synergism of sweet taste among ternary mixtures of 14 sweeteners. A trained panel evaluated ternary mixtures of 14 sweeteners varying in chemical structure and type. The ternary mixtures that were tested were limited to those in which the compounds comprising the mixture were synergistic in binary combinations, according to an earlier study. All sweeteners in the ternary mixtures were isointense with 2% sucrose, according to a previously developed formulae. Each self-mixture was also tested (e.g. 2% sucrose + 2% sucrose + 2% sucrose). The triad with the highest mean sweetness intensity rating was alitame–neohesperidin dihydrochalcone–rebaudioside-A (10.8). This represents an increase of 99.4% when compared with the average of the self-mixtures. While this is greater than the maximum of 74% increase found for binary mixtures, more dyadic combinations of sweeteners tested previously exhibited synergism than ternary combinations tested here. However, most ternary mixtures were synergistic (significantly greater than the average of the three self-mixtures) to some degree.
DA - 2000/4/1/
PY - 2000/4/1/
DO - 10.1093/chemse/25.2.131
VL - 25
IS - 2
SP - 131-140
OP -
SN - 1464-3553
UR - http://dx.doi.org/10.1093/chemse/25.2.131
DB - Crossref
ER -
TY - JOUR
TI - High dietary copper improves odor characteristics of swine waste.
AU - Armstrong, T A
AU - Williams, C M
AU - Spears, J W
AU - Schiffman, S S
T2 - Journal of Animal Science
AB - We conducted two experiments to determine the effects of dietary copper concentration and source on odor characteristics of swine waste. In both experiments, 192 weanling gilts and barrows were allotted to 24 pens. Pens were randomly assigned to one of six dietary treatments, consisting of control (10 ppm Cu as cupric sulfate, CuSO4), 66 or 225 ppm Cu as CuSO4, or 33, 66, or 100 ppm Cu as cupric citrate (Cucitrate). An antibiotic was included in the diets for Exp. 1, but not Exp. 2. On d 28, fecal samples were randomly obtained from one pig per pen and stored at -20 degrees C until preparation and evaluation by an odor panel. The odor panel consisted of 10 individuals, and each panelist evaluated the odor intensity, irritation intensity, and odor quality of the samples. In Exp. 1, the odor and irritation intensity of the feces were lower (P < .05) from animals consuming diets containing 225 ppm Cu as CuSO4 and 66 or 100 ppm Cu as Cu-citrate compared to the control. The odor quality of the waste from animals consuming diets containing 225 ppm Cu as CuSO4 and 66 or 100 ppm Cu as Cu-citrate was improved (P < .05) compared to the 33 ppm Cu treatment. In Exp. 2, the odor intensity of the feces of pigs receiving diets supplemented with all concentrations of Cu-citrate was lower (P < .05) than that of feces from the control animals. Irritation intensity of the feces was not affected by treatment. Odor quality of waste of pigs supplemented with 225 ppm Cu from CuSO4 and all concentrations of Cu-citrate was improved (P < .05) compared to that of waste of the control pigs. Two gilts and two barrows from each nursery pen in Exp. 1 were continued through the growing-finishing phase on their respective experimental diets. The growing-finishing phase lasted 103 d, and fecal samples were randomly obtained from one pig per pen at the completion of the phase. During the growing-finishing phase, the odor intensity and the irritation intensity of the feces were lower (P < .05) from pigs supplemented with 66 and 225 ppm Cu as CuSO4 and 66 and 100 ppm Cu from Cu-citrate than from the control pigs. The odor quality of the waste was improved (P < .05) in all animals receiving supplemental Cu. These data indicate an improvement in odor characteristics of swine waste with the supplementation of Cu. In addition, lower concentrations of an organic nonsulfate Cu source resulted in similar odor characteristics of swine waste as 225 ppm CuSO4.
DA - 2000///
PY - 2000///
DO - 10.2527/2000.784859x
VL - 78
IS - 4
SP - 859
LA - en
OP -
SN - 0021-8812
UR - http://dx.doi.org/10.2527/2000.784859x
DB - Crossref
ER -
TY - JOUR
TI - Intensification of Sensory Properties of Foods for the Elderly
AU - Schiffman, Susan S.
T2 - The Journal of Nutrition
AB - Taste and smell losses in the elderly can reduce appetite and lead to inadequate dietary intake. Although these chemosensory deficits are generally not reversible, sensory interventions including intensification of taste and odor can compensate for perceptual losses. One method for “treatment” of chemosensory losses involves sensory enhancement of foods with flavors and monosodium glutamate (MSG). Amplification of flavor and taste can improve food palatability and acceptance, increase salivary flow and immunity, and reduce oral complaints in both sick and healthy elderly.
DA - 2000/4//
PY - 2000/4//
DO - 10.1093/jn/130.4.927s
VL - 130
IS - 4
SP - 927S-930S
J2 - The Journal of Nutrition
LA - en
OP -
SN - 0022-3166
UR - http://dx.doi.org/10.1093/jn/130.4.927s
DB - Crossref
ER -
TY - JOUR
TI - Effect of Tricyclic Antidepressants on Taste Responses in Humans and Gerbils
AU - Schiffman, Susan S
AU - Zervakis, Jennifer
AU - Suggs, Mark S
AU - Budd, Kayse Cole
AU - Iuga, Laura
T2 - Pharmacology Biochemistry and Behavior
AB - One of the side effects of antidepressant pharmacotherapy reported clinically is impairment of the sense of taste. In this study, the taste effects of four tricyclic antidepressant compounds (clomipramine HCl, desipramine HCl, doxepin HCl, and imipramine HCl) were evaluated experimentally by topical application of the drugs to the tongue. Taste detection threshold concentrations for all four medications ranged from 0.1 mM to 0.2 mM in young persons but were elevated by as much as 7.71 times that in elderly individuals who were taking no concurrent medications. Each compound had a predominantly bitter taste with other qualities including metallic, sour, and sharp-pungent. In addition, each tricyclic antidepressant at concentrations from 1 mM to 5 mM blocked responses to a wide range of taste stimuli in both humans and gerbils. The differential suppression of other tastes by tricyclic antidepressants at the level of the taste receptors may contribute to the clinical reports of dysgeusia and hypogeusia.
DA - 2000/4//
PY - 2000/4//
DO - 10.1016/s0091-3057(99)00246-4
VL - 65
IS - 4
SP - 599-609
J2 - Pharmacology Biochemistry and Behavior
LA - en
OP -
SN - 0091-3057
UR - http://dx.doi.org/10.1016/s0091-3057(99)00246-4
DB - Crossref
ER -
TY -
ER -
TY - JOUR
TI - Neural Network Based Motor Vibration Signal Analysis, Fault Detection, and Diagnosis
AU - Li, B.
AU - Chow, M.-Y.
AU - Tipsuwan, Y.
AU - Hung, J.C.
T2 - IEEE Transactions on Industrial Electronics
DA - 2000/10//
PY - 2000/10//
ER -
TY - CHAP
TI - Neural Control
AU - Chow, M.-Y.
T2 - The electrical engineering handbook
A2 - Dorf, R.C.
PY - 2000/1//
ET - 2nd
PB - CRC Press
SN - 9780849321771
ER -
TY - CONF
TI - Estimation of the signal-to-noise ratio for on-chip wireless clock signal distribution (year 2000)
AU - Bravo, D.
AU - Yoon, H.
AU - Kim, K.
AU - Floyd, B.
AU - O, K.K.
AB - The achievable signal-to-noise ratio for an 18-GHz wireless clock distribution system has been estimated by extrapolating from the current status of the clock receiver, the integrated antenna performance, and the understanding of noise sources and coupling mechanisms. It is estimated that a SNR of /spl sim/23 dB is achievable at the input of the frequency divider within the clock receiver block.
C2 - 2000///
C3 - Proceedings of the IEEE 2000 International Interconnect Technology Conference, IITC 2000
DA - 2000///
DO - 10.1109/IITC.2000.854082
SP - 9-11
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-84962881155&partnerID=MN8TOARS
ER -
TY - CONF
TI - The effects of substrate resistivity on RF component and circuit performance
AU - Floyd, B.A.
AU - Hung, C.-M.
AU - O, K.K.
AB - The benefits of using high-resistivity substrates for RF CMOS applications are experimentally quantified. The quality factors of spiral inductors with a patterned ground shield, varactors, and transistors have been measured on both p/sup +/ (with epi) and p/sup -/ substrates, and in each case, Q is higher on p/sup $/substrates. A 5.35-GHz VCO on a p-substrate has an 8 dB lower phase noise than that on a p/sup +/ substrate, while a 7-GHz LNA on a p/sup -/ substrate has a 6 dB higher gain and /spl sim/2.5 dB lower noise figure than that on a p/sup +/ substrate.
C2 - 2000///
C3 - Proceedings of the IEEE 2000 International Interconnect Technology Conference, IITC 2000
DA - 2000///
DO - 10.1109/IITC.2000.854313
SP - 164-166
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-84962844447&partnerID=MN8TOARS
ER -
TY - CONF
TI - Wireless interconnection in a CMOS IC with integrated antennas
AU - Floyd, B.
AU - Kim, K.
AU - O, K.
C2 - 2000///
C3 - Digest of Technical Papers - IEEE International Solid-State Circuits Conference
DA - 2000///
SP - 328-329
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0034429612&partnerID=MN8TOARS
ER -
TY - CONF
TI - Fully integrated 5.35-GHz CMOS VCO and a prescaler
AU - Hung, Chih-Ming
AU - Floyd, Brian A.
AU - O, Kenneth K.
C2 - 2000///
C3 - Digest of papers - IEEE Radio Frequency Integrated Circuits Symposium
DA - 2000///
SP - 69-72
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033715978&partnerID=MN8TOARS
ER -
TY - CONF
TI - Packaging Factors for Next Generation High Voltage, High Temperature Power Electrons Modules
AU - Bowers, J.S.
AU - Hopkins, D.C.
AU - Sarjeant, W.J.
T2 - High Temperature Electronics Conference
C2 - 2000/7//
CY - Albuquerque, New Mexico
DA - 2000/7//
PY - 2000/7//
ER -
TY - RPRT
TI - Adaptive Mode Control: A Low-Leakage Power-Efficient Cache Design
AU - Zhou, H.
AU - Toburen, M.
AU - Rotenberg, E.
AU - Conte, T.
A3 - Department of Electrical and Computer Engineering, North Carolina State University
DA - 2000/11//
PY - 2000/11//
M3 - Technical Report
PB - Department of Electrical and Computer Engineering, North Carolina State University
ER -
TY - CONF
TI - Design of non-expansionist and orthogonal extension methods for tree-structured filter banks
AU - Dominguez Jimenez, M.E.
AU - Gonzalez Prelcic, N.
AB - Signal extension methods have been extensively used when applying filter banks to finite length sequences. However, not every extension guarantees perfect reconstruction without considering extra subband samples, that is, in some cases the associated transform is expansionist. In this paper we introduce first the most general method for designing signal extensions which yield non-expansionist subband transforms. Secondly, all orthogonal extension methods are constructed. We conclude by analyzing some examples of the new boundary filters associated to the proposed extensions.
C2 - 2000///
C3 - ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings
DA - 2000///
DO - 10.1109/ICASSP.2000.862035
VL - 1
SP - 532-535
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033707911&partnerID=MN8TOARS
ER -
TY - CONF
TI - New orthogonal extension methods for tree-structured filter banks
AU - Jiménez, M.E.D.
AU - Prelcic, N.G.
C2 - 2000///
C3 - European Signal Processing Conference
DA - 2000///
VL - 2015-March
M1 - March
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-84937047372&partnerID=MN8TOARS
ER -
TY - CONF
TI - Crosstalk in a metro-scale ring with passive optical add/drop
AU - Feuer, Mark D.
AU - Lam, Cedric F.
AU - Lunardi, Leda M.
AU - Woodward, Sheryl L.
C2 - 2000///
C3 - Conference Proceedings - Lasers and Electro-Optics Society Annual Meeting-LEOS
DA - 2000///
VL - 2
SP - 694-695
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0034481563&partnerID=MN8TOARS
ER -
TY - CONF
TI - 10-Gbit/s RZ pulses using an all-silicon nonlinear transmission line integrated circuit
AU - Birk, Martin
AU - Lunardi, Leda M.
AU - Gnauck, Alan H.
AU - Schumacher, Hermann
AU - Behammer, Dag
C2 - 2000///
C3 - Conference on Optical Fiber Communication, Technical Digest Series
DA - 2000///
VL - 1
SP - 248-250
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033645117&partnerID=MN8TOARS
ER -
TY - CONF
TI - Blind equalization in OFDM-based multi-antenna systems
AU - Bölcskei, H.
AU - Heath, R.W.
AU - Paulraj, A.J.
AB - Wireless systems employing multiple antennas at the transmitter and the receiver can achieve extraordinary bit rates. Orthogonal frequency division multiplexing (OFDM) significantly reduces receiver complexity in multiantenna broadband systems. We introduce an algorithm for blind channel identification and equalization in OFDM-based multi-antenna systems. Our approach employs nonredundant antenna precoding, uses second-order cyclostationary statistics, and yields unique estimates (up to a phase rotation for each symbol stream). Furthermore, it does not require knowledge of the channel order, imposes no restrictions on the channel zeros, and exhibits low sensitivity to stationary noise. We present simulation results demonstrating the channel estimator and the corresponding equalizer performance.
C2 - 2000///
C3 - IEEE 2000 Adaptive Systems for Signal Processing, Communications, and Control Symposium, AS-SPCC 2000
DA - 2000///
DO - 10.1109/ASSPCC.2000.882447
SP - 58-63
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0002050195&partnerID=MN8TOARS
ER -
TY - CONF
TI - Coordinated training and transmission for improved interference cancellation in a cellular network
AU - R.W., Heath, Jr.
AU - Tellado, J.
AU - Peroor, S.K.
AU - Paulraj, A.
C2 - 2000///
C3 - Conference Record of the Asilomar Conference on Signals, Systems and Computers
DA - 2000///
VL - 2
SP - 939-945
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0034445376&partnerID=MN8TOARS
ER -
TY - JOUR
TI - Blind identification of multichannel FIR blurs and perfect image restoration
T2 - IEEE Transactions on Image Processing
AB - Despite its practical importance in image processing and computer vision, blind blur identification and blind image restoration have so far been addressed under restrictive assumptions such as all-pole stationary image models blurred by zero or minimum-phase point-spread functions. Relying upon diversity (availability of a sufficient number of multiple blurred images), we develop blind FIR blur identification and order determination schemes. Apart from a minimal persistence of the excitation condition (also present with nonblind setups), the inaccessible input image is allowed to be deterministic or random and of unknown color of distribution. With the blurs satisfying a certain co-primeness condition in addition, we establish existence and uniqueness results which guarantee that single input/multiple-output FIR blurred images can be restored blindly, though perfectly in the absence of noise, using linear FIR filters. Results of simulations employing the blind order determination, blind blur identification, and blind image restoration algorithms are presented. When the SNR is high, direct image restoration is found to yield better results than indirect image restoration which employs the estimated blurs. In low SNR, indirect image restoration performs well while the direct restoration results vary with the delay but improve with larger equalizer orders.
DA - 2000///
PY - 2000///
DO - 10.1109/83.877210
VL - 9
IS - 11
SP - 1877-1896
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0034317334&partnerID=MN8TOARS
KW - blind blur estimation
KW - blind image restoration
KW - multichannel image restoration
ER -
TY - JOUR
TI - Operations of the dominant harmonic active filter (DHAF) under realistic utility conditions
AU - Cheng, P.-T.
AU - Bhattacharya, S.
AU - Divan, D.
T2 - Conference Record - IAS Annual Meeting (IEEE Industry Applications Society)
AB - This paper presents laboratory test results of the dominant harmonic active filter (DHAF) prototype. The DHAF system achieves harmonic isolation at the dominant harmonics using square-wave active filter inverters. The key advantages of the DHAF system are the low rating and low bandwidth requirements of the active filter inverter. Such characteristics allow cost-effective and viable applications of the DHAF system to mitigate harmonic problems for high-power nonlinear loads (10-100 MW and above). Several practical situations, including source-sink resonance, ambient harmonic interferences, and unbalanced grid voltages are applied to the DHAF prototype to validate its performance. The operation principles of the DHAF system and the synchronous-reference-frame-based controller are discussed to explain how harmonic isolation at the dominant harmonics is accomplished. A design example of the DHAF system for a 20 MVA rectifier load at an industrial site is also given to illustrate its application.
DA - 2000///
PY - 2000///
DO - 10.1109/28.936394
VL - 4
SP - 2135-2142
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0034515562&partnerID=MN8TOARS
ER -
TY - JOUR
TI - Experimental vérification of dominant harmonic active filter for high-power applications
AU - Cheng, P.-T.
AU - Bhattacharya, S.
AU - Divan, D.
T2 - IEEE Transactions on Industry Applications
AB - A synchronous-reference-frame (SRF)-based controller for the dominant harmonic active filter (DHAF) system has already been proposed by the authors. The SRF controller is designed to achieve harmonic isolation at the dominant harmonic frequencies (such as at the 5th and/or 7th) between the supply and load. This allows implementation of the DHAF system by square-wave inverters switching at the 5th or 7th harmonic frequency. Compared to conventional active filter systems which require high-switching-frequency pulsewidth modulation inverters, the square-wave-inverter-based DHAF system provides a viable and cost-effective solution to achieve harmonic isolation for high-power nonlinear loads (10 MW and above) or cluster of nonlinear loads, to meet the IEEE 519 recommended harmonic standard. In this paper, a new feedforward command of the SRF controller is proposed which provides better dynamic performance. The improved feedforward command of the SRF controller can suppress any system resonances at the dominant harmonic frequencies and meet IEEE 519 harmonic current limits. Experimental results are presented to validate the effectiveness of the SRF controller and the DHAF system.
DA - 2000///
PY - 2000///
DO - 10.1109/28.833775
VL - 36
IS - 2
SP - 567-577
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033890406&partnerID=MN8TOARS
KW - active filter
KW - dominant harmonic active filter
KW - harmonic filtering
KW - harmonic isolation
KW - square-wave inverter
KW - synchronous reference frame
ER -
TY - CONF
TI - Adaptive modulation using long range prediction for flat Rayleigh fading channels
AU - Hu, Shengquan
AU - Duel-Hallen, A.
AU - Hallen, H.
AB - We theoretically analyze the statistical behavior of prediction errors generated by our previously proposed (Duel-Hallen et al. 2000) long range prediction algorithm, and investigate adaptive modulation design using predicted channel state information (CSI). Both numerical and simulation results show that accurate prediction of the fading channel far ahead makes adaptive transmission feasible for rapidly time-varying mobile radio channels.
C2 - 2000///
C3 - 2000 IEEE International Symposium on Information Theory (Cat. No.00CH37060)
CY - Piscataway, NJ, USA
DA - 2000///
DO - 10.1109/ISIT.2000.866451
SP - 159 -
UR - http://dx.doi.org/10.1109/ISIT.2000.866451
ER -
TY - CONF
TI - Long-Range Prediction Makes Adaptive Modulation Feasible for Realistic Mobile Radio Channels
AU - Hu, S.
AU - Duel-Hallen, A.
AU - Hallen, H.
C2 - 2000///
C3 - Proc. of 34rd Annual Conference on Information Sciences and Systems CISS'2000
DA - 2000///
SP - WP4-7 - WP4-13
ER -
TY - JOUR
TI - Analytical modeling of pnp InP/InGaAs heterojunction bipolar transistors
AU - Datta, S.
AU - Roenker, K.P.
AU - Cahay, M.M.
AU - Lunardi, L.M.
T2 - Solid-State Electronics
AB - Abstract Pnp InP/InGaAs heterojunction bipolar transistors have been modeled using a modified Gummel–Poon model, and the results are compared with experimental measurements and results from a commercial simulator. The model provides a good description of the transistor’s high frequency performance and describes the falloff in device performance at high current densities. The model overestimates the current gain at low current densities by neglecting hole recombination in the base side of the emitter–base space charge region.
DA - 2000/7//
PY - 2000/7//
DO - 10.1016/s0038-1101(00)00055-1
VL - 44
IS - 7
SP - 1331-1333
UR - http://dx.doi.org/10.1016/s0038-1101(00)00055-1
KW - Pnp
KW - heterojunction
KW - bipolar
KW - transistor
KW - InP
ER -
TY - JOUR
TI - Integrated signal monitoring and connection verification in MEMS optical crossconnects
AU - Lin, L.Y.
AU - Goldstein, E.L.
AU - Lunardi, L.M.
T2 - IEEE Photonics Technology Letters
AB - We propose and demonstrate integrated signal-monitoring and connection-verification schemes for microelectromechanical-system (MEMS) optical crossconnects. The schemes utilize monolithically integrated beamsplitters and hybrid-integrated photodetectors as output signal-monitoring modules. To achieve connection verification, on-chip micromirror dithering is employed to encode optical signals with pilot tones that are used only internally to the crossconnect. The approaches described offer a potentially compact means of implementing several critical network-management and surveillance features.
DA - 2000/7//
PY - 2000/7//
DO - 10.1109/68.853537
VL - 12
IS - 7
SP - 885-887
UR - http://dx.doi.org/10.1109/68.853537
KW - connection verification
KW - MEMS
KW - optical crossconnect
KW - optical switching
KW - signal monitoring
ER -
TY - JOUR
TI - Backreflection and loss in single-fiber loopback networks
AU - Feuer, M.D.
AU - Thomas, M.A.
AU - Lunardi, L.M.
T2 - IEEE Photonics Technology Letters
AB - We have studied the effects of network loss and back-reflections on single-fiber loopback transmission, in which a modulator replaces the optical source at the remote terminal. Combining a broad-band source at the host terminal with an amplified modulator at the remote terminal effectively suppresses the optical beat noise, allowing data transmission at 50 Mb/s with realistic loss budgets, even in the presence of Fresnel back-reflections as large as -15 dB.
DA - 2000/8//
PY - 2000/8//
DO - 10.1109/68.868023
VL - 12
IS - 8
SP - 1106-1108
UR - http://dx.doi.org/10.1109/68.868023
KW - optical communication
KW - optical devices
KW - optical modulators
KW - semiconductor optical amplifiers
KW - WDM
ER -
TY - JOUR
TI - Field results for a distribution circuit state estimator implementation
AU - Lubkeman, D.L.
AU - Zhang, Jianzhong
AU - Ghosh, A.K.
AU - Jones, R.H.
T2 - IEEE Transactions on Power Delivery
AB - Distribution automation encompasses functions that rely on knowledge of the state of the distribution circuit in real-time. This paper presents field results for a distribution circuit state estimator that was implemented on an electric utility distribution circuit. The state estimation algorithm is based on a probabilistic radial power flow approach that incorporates real-time measurements as solution constraints. A load modeling technique based on using customer billing information and daily load profiles is used to provide needed load estimates. This paper provides an assessment of how accurately the developed state estimation algorithm is able to predict circuit states. The improvement in circuit estimates as a function of available feeder measurements is illustrated as well. Finally, difficulties encountered with implementing an actual distribution state estimator are discussed.
DA - 2000///
PY - 2000///
DO - 10.1109/61.847280
VL - 15
IS - 1
SP - 399-406
J2 - IEEE Trans. Power Delivery
OP -
SN - 0885-8977
UR - http://dx.doi.org/10.1109/61.847280
DB - Crossref
KW - distribution automation
KW - distribution circuit state estimation
KW - distribution load modeling
ER -
TY - CONF
TI - Leveraging high density packaging for high performance DSP systems
AU - Mehrotra, P.
AU - Rao, V.
AU - Conte, T.
AU - Franzon, P.
AB - The high connectivity of SHOCC (seamless high off-chip connectivity) technology can be exploited to increase the number of memory channels in a DSP system. This paper describes the physical and logical architecture of a high performance FFT system enabled by a combination of high density packaging and good memory management schemes, with an emphasis on signal integrity issues.
C2 - 2000///
C3 - Proceedings of the IEEE Electrical Performance on Electronic Packaging
CY - Scottsdale, AZ, USA
DA - 2000///
PY - 2002/10/23/
DO - 10.1109/EPEP.2000.895485
SP - 25–28
PB - IEEE
SN - 0-7803-6450-3
ER -
TY - CONF
TI - High displacement piezoelectric actuators: characterization at high load with controlled end conditions
AU - Mulling, J.
AU - Usher, T.
AU - Desent, B.
AU - Palmer, J.
AU - Franzon, P.
AU - Grant, E.
AU - Kingon, A.
T2 - 12th IEEE International Symposium on Applications of Ferroelectrics
AB - Piezoelectric ceramic transducers are characterized by relatively small strains on the order of 0.1%. Methods of achieving larger displacements include mechanical amplifiers and flexural mode actuators, such as unimorphs or bimorphs. A particular type of stressed unimorph flexural actuator, the "THUNDER" actuator, provides enhanced flexural strain. (THUNDER/sup TM/ is a trademark of FACE International Corporation). However, displacement has generally not been characterized as a function of load, which was needed for our application. We found that load and displacement were very sensitive to end conditions, which has also not been reported in the literature. The commercially available THUNDER/sup TM/ model 8-R rectangular actuators were chosen for the research presented here. They were operated in a flexural mode, and used to characterize displacement as a function of load under well-controlled end conditions. Our experimental results show that progressively restrictive end conditions increased the stiffness, ranging from 2.5N/m to 23N/m, which increased the load capabilities of the actuator. In some cases, displacement actually increased as a function of load as well. This enhanced stiffness was obtained at a cost of reduced no-load flexural strain (defined as the ratio of flexural displacement and ceramic length), ranging from 1.08% for free end conditions to 0.2% for highly restricted end conditions. The load bearing capabilities were tested out to 10N for most end conditions.
C2 - 2000///
C3 - Proceedings of the 12th IEEE International Symposium on Applications of Ferroelectrics
CY - Honolulu, HI, USA
DA - 2000///
PY - 2000/7/21/
DO - 10.1109/ISAF.2000.942427
SP - 745–748
PB - IEEE
SN - 0-7803-5940-2
ER -
TY - CONF
TI - Moletronics: A circuit design perspective
AU - Nackashi, D.
AU - Franzon, P.
AB - Recently, several mechanisms have been proposed as a basis for designing molecular electronic logic switching elements. Many two terminal molecular devices functioning as diodes have been synthesized with responses similar to silicon devices such as rectifying and resonant tunneling diodes. In this paper, the feasibility of integrating these molecular diodes into current circuit architectures is explored. A series of logic gates and a memory element are simulated based on the voltage-controlled current flow method using the Tour-Reed molecular diode exhibiting negative differential resistance (NDR). HSPICE simulation results are used to illustrate the performance of these devices and to quantify additional component and interconnect requirements. Finally, future system design approaches using molecular components are discussed.
C2 - 2000///
C3 - Proceedings of the SPIE 4236, Smart Electronics and MEMS II
CY - Melbourne, Australia
DA - 2000///
DO - 10.1117/12.418782
VL - 4236
SP - 80–88
ER -
TY - CONF
TI - Initial pulse-echo imaging results with onedimensional capacitive micromachined ultrasonic transducer arrays
AU - Oralkan, Ö.
AU - Jin, X.C.
AU - Kaviani, K.
AU - Ergun, A.S.
AU - Degertekin, F.L.
AU - Karaman, M.
AU - Khuri-Yakub, B.T.
AB - In this paper, the first experimental results of pulse-echo imaging employing a 1-D capacitive micromachined ultrasonic transducer array are presented. A 1-D array consisting of 16 elements is used in the experiment. A wire phantom consisting of seven steel wires immersed in vegetable oil is used as the imaging target. A B-scan image with a sector angle of 90 degrees and an image depth of 150 mm is reconstructed by employing RF beamforming and synthetic phase array approaches. The reconstructed image is displayed at different display dynamic ranges. The measured near and far side lobe levels are around /spl sim/25 dB and /spl sim/35 dB, respectively. The noise floor of the image is below /spl sim/50 dB.
C2 - 2000///
C3 - Proceedings of the IEEE Ultrasonics Symposium
DA - 2000///
DO - 10.1109/ULTSYM.2000.922700
VL - 1
SP - 959–962
ER -
TY - CONF
TI - Silicon micromachined ultrasonic transducers
AU - Khuri-Yakub, B.T.
AU - Cheng, C.-H.
AU - Degertekin, F.L.
AU - Ergun, S.
AU - Hansen, S.
AU - Jin, X.C.
AU - Oralkan, Ö.
A2 - Zakharia, M.E.
A2 - Chevret, P.
A2 - Dubail, P.
C2 - 2000///
C3 - Proceedings of the ASME Noise Control and Acoustic Division
DA - 2000///
SP - 153-171
PB - The American Society of Mechanical Engineers
ER -
TY - RPRT
TI - Multiple Working Points in Multichannel ALOHA with Deadlines
AU - Baron, D.
AU - Birk, Y.
A3 - Electrical Engineering Department, Technion
DA - 2000/1//
PY - 2000/1//
M1 - EE Pub 1240, CCIT Report 306
M3 - Technical report
PB - Electrical Engineering Department, Technion
SN - EE Pub 1240, CCIT Report 306
ER -
TY - RPRT
TI - Coding Schemes for Multislot Messages in Multichannel ALOHA with Deadlines
AU - Baron, D.
AU - Birk, Y.
A3 - Electrical Engineering Department, Technion
DA - 2000/2//
PY - 2000/2//
M1 - EE Pub 1241, CIT Report 307
M3 - Technical report
PB - Electrical Engineering Department, Technion
SN - EE Pub 1241, CIT Report 307
ER -
TY - RPRT
TI - On the Merits of Impure Multi-Copy Schemes for MultiChannel Slotted ALOHA with Deadlines
AU - Baron, D.
AU - Birk, Y.
A3 - Electrical Engineering Department, Technion
DA - 2000/6//
PY - 2000/6//
M1 - EE Pub 1249, CCIT Report 315
M3 - Technical report
PB - Electrical Engineering Department, Technion
SN - EE Pub 1249, CCIT Report 315
ER -
TY - RPRT
TI - Capacity Maximization in Multichannel Slotted ALOHA with Deadlines - an Overview
AU - Birk, Y.
AU - Baron, D.
A3 - Electrical Engineering Department, Technion
DA - 2000/6//
PY - 2000/6//
M1 - EE Pub 1248, CCIT Report 314
M3 - Technical report
PB - Electrical Engineering Department, Technion
SN - EE Pub 1248, CCIT Report 314
ER -
TY - CONF
TI - Testing HVAC Ducts for Microbial Contamination Using An Electronic Nose
AU - Wyrick, D.W.
AU - Schiffman, S.S.
AU - Payne, G.A.
AU - O'Brian, G.
AU - Van Dyke, C.
AU - Allison, H.
AU - Ledbetter, J.
AU - Nagle, H.T.
T2 - The Twenty Second International Engineering in Medicine and Biology Society
C2 - 2000///
C3 - Proceedings of the Twenty Second International Engineering in Medicine and Biology Society
CY - Chicago, IL
DA - 2000///
PY - 2000///
ER -
TY - CONF
TI - A Self-Calibrating Dual pH/Oxygen Sensor
AU - Kim, C.S.
AU - Scarantino, C.W.
AU - Nagle, H.T.
T2 - The Twenty Second International Engineering in Medicine and Biology Society
C2 - 2000///
C3 - Proceedings of the Twenty Second International Engineering in Medicine and Biology Society
DA - 2000///
ER -
TY - CONF
TI - Detecting Microbial Contamination using an Electronic Nose
AU - Schiffman, S.S.
AU - Wyrick, D.W.
AU - Payne, G.A.
AU - O'Brian, G.
AU - Nagle, H.T.
T2 - ISOEN’00
C2 - 2000///
C3 - Seventh International Olfaction & Electronic Nose Symposium
DA - 2000///
PY - 2000///
SP - 95-96
ER -
TY - CONF
TI - Tree Source Identification with the Burrows Wheeler Transform
AU - Baron, D.
AU - Bresler, Y.
T2 - CISS2000
C2 - 2000///
C3 - Proceedings of 34th Annual Conference on Information Sciences and Systems
DA - 2000///
VL - 2
SP - FA1–10 - FS1–15
ER -
TY - CONF
TI - Phonons, electron-phonon interactions, and phonon-phonon interactions in III-V nitrides
AU - Bergman, Leah
AU - Dutta, Mitra
AU - Kim, Ki Wook
AU - Klemens, Paul G.
AU - Komirenko, Sergiy M.
AU - Stroscio, Michael A.
T2 - Symposium on Integrated Optoelectronics
A2 - Tsen, Kong-Thon F.
A2 - Song, Jin-Joo
AB - Fundamental properties of phonons in III-V nitrides are examined with a view toward understanding processes important in the operation of III-V nitride devices. Firstly, confined, interface and propagating modes in wurtzite quantum wells are described in terms of Loudon's model for uniaxial semiconductors and the dielectric continuum model. Basic properties of the phonon modes and carrier-phonon interactions are considered in the basis of this treatment of dimensionally-confined phonons in wurtzite structures. A key feature of these phonon modes is their enhanced dispersion and its origin from the non-isotropic nature of the wurtzites. As will be discussed, this dispersion has important consequences for phonon propagation and phonon energy spectra. Secondly, the second-order phonon decay process of combined point defect scattering and anharmonic decay is examined as a means of estimating line broadening associated with the decay of phonons in III-V nitrides of wurtzite structure containing point defects. Thirdly, an analysis of Raman linewidths measured for AlN and GaN wurtzites is made to estimate phonon lifetimes.
C2 - 2000/3/28/
C3 - Ultrafast Phenomena in Semiconductors IV
DA - 2000/3/28/
DO - 10.1117/12.381450
PB - SPIE
UR - http://dx.doi.org/10.1117/12.381450
DB - Crossref
KW - phonons in wurtzite structures
KW - Loudon model
KW - nitride-based III-V structures
KW - modified random element isodisplacement (MREI) model
KW - single-mode behavior of ternary wurtzite structures
KW - anharmonic decay
KW - point defects
KW - Raman line widths
ER -
TY - BOOK
TI - NC Tissue Engineering Interest Group Symposium Abstract Book
A3 - Nagle, H.T.
DA - 2000/4/7/
PY - 2000/4/7/
PB - NC Biotechnology Center
ER -
TY - JOUR
TI - Silicon Micromachined Ultrasonic Transducers
AU - Khuri-Yakub, Butrus T.
AU - Cheng, Ching-Hsiang
AU - Degertekin, Fahrettin-Levent
AU - Ergun, Sanli
AU - Hansen, Sean
AU - Jin, Xue-Cheng
AU - Oralkan, Omer
T2 - Japanese Journal of Applied Physics
AB - This paper reviews capacitor micromachined ultrasonic transducers (cMUTs). Transducers for air-borne and immersion applications are made from parallel-plate capacitors whose dimensions are controlled through traditional integrated circuit manufacturing methods. Transducers for airborne ultrasound applications have been operated in the frequency range of 0.1–11 MHz, while immersion transducers have been operated in the frequency range of 1–20 MHz. The Mason model is used to represent the cMUT and highlight the important parameters in the design of both airborne and immersion transducers. Theory is used to compare the dynamic range and the bandwidth of the cMUTs to piezoelectric transducers. It is seen that cMUTs perform at least as well if not better than piezoelectric transducers. Examples of single-element transducers, linear-array transducers, and two-dimensional arrays of transducers will be presented.
DA - 2000/5/30/
PY - 2000/5/30/
DO - 10.1143/jjap.39.2883
VL - 39
IS - Part 1, No. 5B
SP - 2883-2887
J2 - Jpn. J. Appl. Phys.
LA - en
OP -
SN - 0021-4922 1347-4065
UR - http://dx.doi.org/10.1143/jjap.39.2883
DB - Crossref
KW - ultrasonic
KW - transducer
KW - capacitor
KW - silicon
KW - micromachining
KW - array
KW - imaging
ER -
TY - CONF
TI - Ultra-high performance optical servo system using an electrooptic beam scanner
AU - Gong, Bo
AU - Messner, William
AU - Schlesinger, T.E.
AU - Shragai, Hadas
AU - Stancil, Dan
AU - Zhai, JinHui
C2 - 2000///
C3 - Proceedings of SPIE - The International Society for Optical Engineering
DA - 2000///
VL - 4090
SP - 335-339
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033652928&partnerID=MN8TOARS
ER -
TY - CONF
TI - To 100 Gb/in 2 and beyond in magneto-optic recording
AU - Karns, D.
AU - Zhai, J.
AU - Herget, P.
AU - Song, H.
AU - Gamble, A.
AU - Stancil, D.D.
AU - Kumar, B.V.K.Vijaya
AU - Schlesinger, T.E.
C2 - 2000///
C3 - Proceedings of SPIE - The International Society for Optical Engineering
DA - 2000///
VL - 4090
SP - 238-245
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033650813&partnerID=MN8TOARS
ER -
TY - JOUR
TI - Optical field study of near-field optical recording with a solid immersion lens
AU - Guo, F.
AU - Schlesinger, T.E.
AU - Stancil, D.D.
T2 - Applied Optics
DA - 2000///
PY - 2000///
VL - 39
IS - 2
SP - 324-332
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0000419025&partnerID=MN8TOARS
ER -
TY - CONF
TI - Numerical simulation of dynamic thermo-magnetic switching and the optical signal in magnetic super-resolution read-out
AU - Itagi, Amit
AU - Schlesinger, T.E.
AU - Stancil, D.D.
C2 - 2000///
C3 - Proceedings of SPIE - The International Society for Optical Engineering
DA - 2000///
VL - 4090
SP - 82-93
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033645373&partnerID=MN8TOARS
ER -
TY - CONF
TI - Near field hybrid recording with a mode index waveguide lens
AU - Rausch, Tim
AU - Bain, James A.
AU - Stancil, Daniel D.
AU - Schlesinger, Tuviah E.
C2 - 2000///
C3 - Proceedings of SPIE - The International Society for Optical Engineering
DA - 2000///
VL - 4090
SP - 66-71
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033652324&partnerID=MN8TOARS
ER -
TY - JOUR
TI - Information dimension analysis of chaotic forward volume spin waves in a yttrium–iron–garnet thin film
AU - Prabhakar, A.
AU - Stancil, D.D.
T2 - Journal of Applied Physics
AB - Forward volume spin waves were excited in a yttrium–iron–garnet film using a microstrip delay line. Low frequency modulations in output power were captured on a digital oscilloscope for various combinations of microwave input frequency and power. Using the decrease in mutual information as a criterion, an embedding delay time was chosen for each time series. The method of false nearest neighbors was then used to calculate a minimal embedding dimension, D. Despite large changes in input frequency (4.4 GHz<f<4.6 GHz) and power (15.7 dBm<P<22.7 dBm), D⩽3 for each time series, indicating a low dimensional system. Finally, the information dimension, d, was estimated by computing the distance from a collection of reference points to their nearest neighbors. The distribution for d was found to be bimodal. When correlated with the input microwave power and frequency, it was found that values of d<2 occurred close to the auto-oscillation threshold in the vicinity of an even dipole gap. d<3 was observed at higher power levels or at frequencies close to an odd dipole gap.
DA - 2000///
PY - 2000///
DO - 10.1063/1.373258
VL - 87
IS - 9
SP - 5091-5093
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-5244334767&partnerID=MN8TOARS
ER -
TY - JOUR
TI - High bandwidth electro-optic scanner for optical data storage
AU - Zhai, J.
AU - Huang, Y.
AU - Schroeck, S.
AU - Messner, W.
AU - Stancil, D.D.
AU - Schlesinger, T.E.
T2 - Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
DA - 2000///
PY - 2000///
VL - 39
IS - 2 B
SP - 883-887
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0033879857&partnerID=MN8TOARS
ER -
TY - CONF
TI - Efficient simulation of Ricean fading within a packet simulator
AU - Punnoose, Ratish J.
AU - Nikitin, Pavel V.
AU - Stancil, Daniel D.
C2 - 2000///
C3 - IEEE Vehicular Technology Conference
DA - 2000///
VL - 2
SP - 764-767
M1 - 52 ND
UR - http://www.scopus.com/inward/record.url?eid=2-s2.0-0034516301&partnerID=MN8TOARS
ER -
TY - JOUR
TI - Automatic IC orientation checks
AU - Kassim, A. A.
AU - Huiyang
AU - Raganath, S.
T2 - Machine Vision and Applications
DA - 2000///
PY - 2000///
DO - 10.1007/s001380050129
VL - 12
IS - 3
SP - 107–112
ER -
TY - CONF
TI - Dealing with fiber crossovers in fiber length measurements by image processing
AU - Rust, J.
AU - Jasper, W.
AU - Trussell, H.J.
AU - Ikiz, Y.
C2 - 2000///
C3 - Thirteenth annual Engineered Fiber Selection System Conference proceedings: April 17-19, 2000, Sheraton Imperial Hotel and Convention Center, Research Triangle Park, NC
DA - 2000///
PB - [Cary, N.C.]: Cotton Inc.
ER -
TY - JOUR
TI - Scent of a swine building: Tunnel ventilation problems test engineers' ingenuity
AU - Bottcher, R. W.
AU - Keener, K. M.
AU - Munilla, R. D.
AU - Williams, C. M.
AU - Schiffman, S. S.
T2 - Resource, Engineering & Technology for a Sustainable World
DA - 2000///
PY - 2000///
VL - 7
IS - 10
SP - 13
ER -
TY - JOUR
TI - Dust and odor emissions from tunnel ventilated swine buildings in North Carolina
AU - Bottcher, R. W.
AU - Keener, K. M.
AU - Munilla, R. D.
AU - Williams, C. M.
AU - Schiffman, S. S.
T2 - Air pollution from agricultural operations : proceedings of the 2nd international conference, October 9-11, 2000, Des Moines, Iowa
DA - 2000///
PY - 2000///
SP - 196
ER -
TY - PAT
TI - Uniform mesh clock distribution system
AU - Kermani, B. G.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - PAT
TI - Ring oscillator clock generator network
AU - Kermani, B. G.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - PAT
TI - Preconditioning input signals of logic gates for glitch-free output signal
AU - Kermani, B. G.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - PAT
TI - Minimum refractory period in a multiple agent resource sharing environment
AU - Kermani, B. G.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - PAT
TI - Integrated circuit having reduced probability of wire-bond failure
AU - Kermani, B. G.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - PAT
TI - Thin film transistor and method of forming thin film transistors
AU - Batra, S.
AU - Manning, M.
AU - Banerjee, S.
AU - Damiano, J.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - PAT
TI - Trench contact process
AU - Huang, Q.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - PAT
TI - Semiconductor structures with trench contacts
AU - Huang, Q.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - CONF
TI - Application of micromachines to textiles: Using smart sensors to monitor warp tension and breaks during formation of woven fabrics
AU - Gahide, S.
AU - Seyam, A.
AU - Hodge, G.
AU - Oxenham, W.
AU - Franzon, P.
C2 - 2000///
C3 - Proceedings of the International Mechanical Engineering Congress & Exposition, ASME, Orlando (FL), November 2000
DA - 2000///
PB - New York: ASME
ER -
TY - JOUR
TI - Micromachines and textiles: Matching two industries
AU - Gahide, S.
AU - Seyam, A.
AU - Hodge, G.
AU - Oxenham, W.
AU - Franzon, P.
T2 - Textile Asia
DA - 2000///
PY - 2000///
VL - 31
SP - 58-66
ER -
TY - CONF
TI - Slipstream processors: Improving both performance and fault tolerance
AU - Sundaramoorthy, K.
AU - Purser, Z.
AU - Rotenberg, E.
AB - Processors execute the full dynamic instruction stream to arrive at the final output of a program, yet there exist shorter instruction streams that produce the same overall effect. We propose creating a shorter but otherwise equivalent version of the original program by removing ineffectual computation and computation related to highly-predictable control flow. The shortened program is run concurrently with the full program on a chip multiprocessor simultaneous multithreaded processor, with two key advantages:1) Improved single-program performance. The shorter program speculatively runs ahead of the full program and supplies the full program with control and data flow outcomes. The full program executes efficiently due to the communicated outcomes, at the same time validating the speculative, shorter program. The two programs combined run faster than the original program alone. Detailed simulations of an example implementation show an average improvement of 7% for the SPEC95 integer benchmarks.2) Fault tolerance. The shorter program is a subset of the full program and this partial-redundancy is transparently leveraged for detecting and recovering from transient hardware faults.
C2 - 2000///
C3 - ASPLOS-IX proceedings: Ninth International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, November 12-15, 2000
DA - 2000///
DO - 10.1145/378993.379247
SP - 257-268
PB - New York: ACM Press
SN - 1581133170
ER -
TY - JOUR
TI - Control independence in trace processors
AU - Rotenberg, E.
AU - Smith, J. E.
T2 - Journal of Instruction-level Parallelism
DA - 2000///
PY - 2000///
VL - 2
SP - 63-85
ER -
TY - CONF
TI - A study of slipstream processors
AU - Purser, Z.
AU - Sundaramoorthy, K.
AU - Rotenberg, E.
AB - Article Free Access Share on A study of slipstream processors Authors: Zach Purser North Carolina State University, Department of Electrical and Computer Engineering, Engineering Graduate Research Center, Campus Box 7914, Raleigh, NC North Carolina State University, Department of Electrical and Computer Engineering, Engineering Graduate Research Center, Campus Box 7914, Raleigh, NCView Profile , Karthik Sundaramoorthy North Carolina State University, Department of Electrical and Computer Engineering, Engineering Graduate Research Center, Campus Box 7914, Raleigh, NC North Carolina State University, Department of Electrical and Computer Engineering, Engineering Graduate Research Center, Campus Box 7914, Raleigh, NCView Profile , Eric Rotenberg North Carolina State University, Department of Electrical and Computer Engineering, Engineering Graduate Research Center, Campus Box 7914, Raleigh, NC North Carolina State University, Department of Electrical and Computer Engineering, Engineering Graduate Research Center, Campus Box 7914, Raleigh, NCView Profile Authors Info & Claims MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on MicroarchitectureDecember 2000 Pages 269–280https://doi.org/10.1145/360128.360155Published:01 December 2000Publication History 53citation486DownloadsMetricsTotal Citations53Total Downloads486Last 12 Months42Last 6 weeks1 Get Citation AlertsNew Citation Alert added!This alert has been successfully added and will be sent to:You will be notified whenever a record that you have chosen has been cited.To manage your alert preferences, click on the button below.Manage my AlertsNew Citation Alert!Please log in to your account Save to BinderSave to BinderCreate a New BinderNameCancelCreateExport CitationPublisher SiteeReaderPDF
C2 - 2000///
C3 - Proceedings: 33rd Annual IEEE/ACM International Symposium on Microarchitecture: Monterey, California, USA, 10-13 December 2000
DA - 2000///
DO - 10.1145/360128.360155
SP - 269-280
PB - Los Alamitos, CA: IEEE Computer Society
SN - 076950924X
ER -
TY - JOUR
TI - A distributed algorithm for delay-constrained unicast routing
AU - Reeves, DS
AU - Salama, HF
T2 - IEEE-ACM TRANSACTIONS ON NETWORKING
AB - We study the NP-hard delay-constrained least cost (DCLC) path problem. A solution to this problem is needed to provide real-time communication service to connection-oriented applications, such as video and voice. We propose a simple, distributed heuristic solution, called the delay-constrained unicast routing (DCUR) algorithm, DCUR requires limited network state information to be kept at each node: a cost vector and a delay vector. We prove DCUR's correctness by showing that it is always capable of constructing a loop-free delay-constrained path within finite time, if such a path exists. The worst case message complexity of DCUR is O(|V|/sup 2/) messages, where |V| is the number of nodes. However, simulation results show that, on the average, DCUR requires much fewer messages. Therefore, DCUR scales well to large networks. We also use simulation to compare DCUR to the optimal algorithm, and to the least delay path algorithm. Our results show that DCUR's path costs are within 10% of those of the optimal solution.
DA - 2000/4//
PY - 2000/4//
DO - 10.1109/90.842145
VL - 8
IS - 2
SP - 239-250
SN - 1063-6692
KW - delay constraints
KW - distributed algorithms
KW - quality of service
KW - routing
ER -
TY - PAT
TI - Optoelectronic and microelectronic devices including cubic ZnMgO and/or CdMgO alloys
AU - Narayan, J.
AU - Sharma, A. K.
AU - Muth, J. F.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - CONF
TI - Size effect in germanium nanostructures fabricated by pulsed laser deposition
AU - Hassan, K. M.
AU - Sharma, A. K.
AU - Narayan, J.
AU - Muth, J. F.
AU - Teng, C. W.
AU - Kolbas, R. M.
A2 - H. Hahn, S. Komarneni
A2 - Parker, J. C.
C2 - 2000///
C3 - Nanophase and Nanocomposite Materials III (Materials Research Society proceedings; vol. 581)
CN - TA418.9.N35 N336 2000
DA - 2000///
PB - Pittsburgh, Pa.: Materials Research Society
ER -
TY - CONF
TI - Quantum confinement of E1 and E2 transitions in Ge quantum dots embedded in Al203 or an AlN matrix
AU - Teng, C. W.
AU - Muth, J. F.
AU - Kolbas, R. M.
AU - Hassan, K. M.
AU - Sharma, A. K.
AU - Narayan, J.
A2 - J. Piqueras, T. Sekiguchi
A2 - Unlu, M. S.
C2 - 2000///
C3 - Optical microstructural characterization of semiconductors (Materials Research Society proceedings, vol. 588)
CN - QC610.9 .O67 2000
DA - 2000///
PB - Pittsburgh, Pa.: Materials Research Society
ER -
TY - JOUR
TI - Low energy electron-excited nanoscale luminescence: A tool to detect trap activation by ionizing radiation
AU - White, BD
AU - Brillson, LJ
AU - Lee, SC
AU - Fleetwood, DM
AU - Schrimpf, RD
AU - Pantelides, ST
AU - Lee, YM
AU - Lucovsky, G
T2 - IEEE TRANSACTIONS ON NUCLEAR SCIENCE
AB - Ultra-thin SiO/sub 2//Si gate dielectric structures exposed to heavy X-ray irradiation exhibit optical emission characteristic of interface traps. Low energy electron-excited luminescence spectroscopy with nanometer-scale depth resolution yields a characteristic spectral energy and excitation depth dependence. Ultra-thin (5 nm) oxide films on Si substrates exposed to 10 keV, 7.6 Mrad(SiO/sub 2/) [13.7 Mrad (Si)] X-ray irradiation introduces trap densities on the order of 10/sup 11/ cm/sup -2/ ev/sup -1/, localized near the intimate SiO/sub 2/-Si interface. This density is consistent with the trapped oxide and interface charge densities expected based on observed capacitance-voltages shifts of thicker oxides, their corresponding charge densities, and the proportionally smaller charge densities expected for the thinner oxide layers in this study.
DA - 2000/12//
PY - 2000/12//
DO - 10.1109/23.903765
VL - 47
IS - 6
SP - 2276-2280
SN - 1558-1578
ER -
TY - CHAP
TI - A data mining and CIDF based approach for detecting novel and distributed intrusions
AU - Lee, W.
AU - Nimbalkar, R. A.
AU - Yee, K. K.
AU - Patil, S. B.
AU - Desai, P. H.
AU - Tran, T. T.
AU - Stolfo, S. J.
T2 - Recent advances in intrusion detection: Third international workshop, RAID 2000, Toulouse, France, October 2-4, 2000: Proceedings
CN - QA76.9.A25 R34 2000
PY - 2000///
VL - 1907
SP - 49-65
PB - Berlin; New York: Springer
SN - 3540410856
ER -
TY - JOUR
TI - Suppression of Dyakonov-Perel spin relaxation in 2D channels of finite width
AU - Kiselev, AA
AU - Kim, KW
T2 - PHYSICA STATUS SOLIDI B-BASIC RESEARCH
AB - We have investigated spatio-temporal kinetics of electron spin polarization in semiconductor narrow 2D strip and explored the ability to manipulate spin relaxation. Information about spin of the conduction electrons and mechanisms of spin rotation is incorporated into transport Monte Carlo simulation program. A model problem, involving linear-in-k splitting of the conduction band, responsible for the D'yakonov-Perel' mechanism of spin relaxation in the zinc-blende semiconductors and heterostructures, is solved numerically to yield the decay of spin polarization of an ensemble of electrons in the 2D channel of finite width. For very wide channels, a conventional 2D value of spin relaxation is obtained. With decreasing channel width the relaxation time soares rapidly by orders of magnitude. Surprisingly, the cross-over point between 2D and quasi-1D behavior is found to be at tens of electron mean-free paths. Thus, classically wide channels can effectively suppress electron spin relaxation.
DA - 2000/9//
PY - 2000/9//
DO - 10.1002/1521-3951(200009)221:1<491::aid-pssb491>3.0.co;2-i
VL - 221
IS - 1
SP - 491-494
SN - 0370-1972
ER -
TY - JOUR
TI - Renewal-process approximation of a stochastic threshold model for electrical neural stimulation
AU - Bruce, IC
AU - Irlicht, LS
AU - White, MW
AU - SJ O'Leary,
AU - Clark, GM
T2 - JOURNAL OF COMPUTATIONAL NEUROSCIENCE
DA - 2000///
PY - 2000///
DO - 10.1023/A:1008942623671
VL - 9
IS - 2
SP - 119-132
SN - 0929-5313
KW - functional electrical stimulation
KW - stochastic model
KW - renewal process
KW - refractory effects
KW - auditory nerve
ER -
TY - JOUR
TI - Nonlinear cain compression in microwave amplifiers using generalized power-series analysis and transformation of input statistics
AU - Gutierrez, H
AU - Gard, K
AU - Steer, MB
T2 - IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
AB - Two methods are presented for the estimation of gain compression generated by a digitally modulated carrier passed through a nonlinear RF circuit. The first one is based on developing an analytical expression for gain compression based on the transformation of input signal statistics. The second one is based on approximated expressions derived from generalized power-series analysis. The techniques are evaluated by comparing measured and predicted gain compression in a CDMA system.
DA - 2000/10//
PY - 2000/10//
DO - 10.1109/22.873911
VL - 48
IS - 10
SP - 1774-1777
SN - 1557-9670
KW - CDMA
KW - gain compression
KW - intermodulation distortion
KW - microwave amplifiers
KW - nonlinear power amplifiers
ER -
TY - JOUR
TI - Neural-network-based motor rolling bearing fault diagnosis
AU - Li, B
AU - Chow, MY
AU - Tipsuwan, Y
AU - Hung, JC
T2 - IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS
AB - Motor systems are very important in modern society. They convert almost 60% of the electricity produced in the US into other forms of energy to provide power to other equipment. In the performance of all motor systems, bearings play an important role. Many problems arising in motor operations are linked to bearing faults. In many cases, the accuracy of the instruments and devices used to monitor and control the motor system is highly dependent on the dynamic performance of the motor bearings. Thus, fault diagnosis of a motor system is inseparably related to the diagnosis of the bearing assembly. In this paper, bearing vibration frequency features are discussed for motor bearing fault diagnosis. This paper then presents an approach for motor rolling bearing fault diagnosis using neural networks and time/frequency-domain bearing vibration analysis. Vibration simulation is used to assist in the design of various motor rolling bearing fault diagnosis strategies. Both simulation and real-world testing results obtained indicate that neural networks can be effective agents in the diagnosis of various motor bearing faults through the measurement and interpretation of motor bearing vibration signatures.
DA - 2000/10//
PY - 2000/10//
DO - 10.1109/41.873214
VL - 47
IS - 5
SP - 1060-1069
SN - 0278-0046
KW - bearing vibration
KW - fault diagnosis
KW - frequency domain
KW - neural network
KW - time domain
ER -
TY - JOUR
TI - Effect of Ar+ ion beam in the process of plasma surface modification of PET films
AU - Hyun, J
AU - Barletta, P
AU - Koh, K
AU - Yoo, S
AU - Oh, J
AU - Aspnes, DE
AU - Cuomo, JJ
T2 - JOURNAL OF APPLIED POLYMER SCIENCE
AB - In general, plasma modified polymer surfaces tend to show short aging time and rapid hydrophobic recovery after treatment. To prevent reorientation from the surface to the bulk, appropriate crosslinking is necessary among the polymer chains. In this work, an Ar+ ion beam was used to provide crosslinking to the surface. Crosslinking was shown by spectroscopic ellipsometry, AFM, and FTIR. Contact angle measurements were performed to see the aging of the modified surfaces. The surface modified with Ar+ ion beam followed by RF plasma treatment exhibited reduced chain mobility and a highly stable hydrophilic surface. © 2000 John Wiley & Sons, Inc. J Appl Polym Sci 77: 1679–1683, 2000
DA - 2000/8/22/
PY - 2000/8/22/
DO - 10.1002/1097-4628(20000822)77:8<1679::AID-APP4>3.0.CO;2-F
VL - 77
IS - 8
SP - 1679-1683
SN - 0021-8995
KW - polymer
KW - plasma
KW - ion beam
KW - crosslinking
KW - hydrophilic
ER -
TY - JOUR
TI - Analytic model of parasitic capacitance attenuation in CMOS devices with hyper-thin oxides
AU - Ahmed, K
AU - Ibok, E
AU - Hauser, J
T2 - ELECTRONICS LETTERS
AB - The parasitic accumulation capacitance attenuation in MOS structures with hyper-thin oxides has been modelled using a distributed RC network. The simple analytic model is in excellent agreement with a two-dimensional numerical simulation and experimental data.
DA - 2000/9/28/
PY - 2000/9/28/
DO - 10.1049/el:20001160
VL - 36
IS - 20
SP - 1699-1700
SN - 1350-911X
ER -
TY - JOUR
TI - A neuro-stimulus chip with telemetry unit for retinal prosthetic device
AU - Liu, WT
AU - Vichienchom, K
AU - Clements, M
AU - DeMarco, SC
AU - Hughes, C
AU - McGucken, E
AU - Humayun, MS
AU - Juan, E
AU - Weiland, JD
AU - Greenberg, R
T2 - IEEE JOURNAL OF SOLID-STATE CIRCUITS
AB - In this retinal prosthesis project, a rehabilitative device is designed to replace the functionality of defective photoreceptors in patients suffering from retinitis pigmentosa (RP) and age-related macular degeneration (AMD). The device consists of an extraocular and an intraocular unit. The implantable component receives power and a data signal via a telemetric inductive link between the two units. The extraocular unit includes a video camera and video processing board, a telemetry protocol encoder chip, and an RF amplifier and primary coil. The intraocular unit consists of a secondary coil, a rectifier and regulator, a retinal chip with a telemetry protocol decoder, a stimulus signal generator, and an electrode array. This paper focuses on the design, fabrication, and testing of a microchip which serves as the telemetry protocol decoder and stimulus signal generator. It is fabricated by MOSIS with 1.2-mm CMOS technology and was demonstrated to provide the desired biphasic current stimulus pulses for an array of 100 retinal electrodes at video frame rates.
DA - 2000/10//
PY - 2000/10//
DO - 10.1109/4.871327
VL - 35
IS - 10
SP - 1487-1497
SN - 1558-173X
KW - biomedical telemetry
KW - neuro-stimulator
KW - retinal prosthesis
ER -
TY - JOUR
TI - A mixed FDTD-integral equation approach for on-site safety assessment in complex electromagnetic environments
AU - Lazzi, G
AU - Gandhi, OP
T2 - IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION
AB - A mixed finite-difference time-domain (FDTD)-integral equation approach for the evaluation of the power deposition in the human body model immersed in a complex electromagnetic environment is proposed. The advantage of the proposed approach is that safety assessment for exposure to generic sources may be performed on-site, in a few minutes, with high accuracy and without the need of a high-power workstation. The method uses previously stored FDTD-computed impulse responses (Green's functions) of the human body model by integrating them with the complex incident electromagnetic field distribution that can be measured on site. The application of this method to the dosimetry of cellular telephone base station antennas is presented to show its versatility and ease of use.
DA - 2000/12//
PY - 2000/12//
DO - 10.1109/8.901271
VL - 48
IS - 12
SP - 1830-1836
SN - 0018-926X
KW - dosimetry
KW - finite-difference time-domain (FDTD) methods
KW - synthetic aperture radar (SAR) computation
ER -
TY - JOUR
TI - A comparative study of gate direct tunneling and drain leakage currents in N-MOSFET's with sub-2-nm gate oxides
AU - Yang, N
AU - Henson, WK
AU - Wortman, JJ
T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES
AB - This work examines different components of leakage current in scaled n-MOSFET's with ultrathin gate oxides (1.4-2.0 nm). Both gate direct tunneling and drain leakage currents are studied by theoretical modeling and experiments, and their effects on the drain current are investigated and compared. It concludes that the source and drain extension to the gate overlap regions have strong effects on device performance in terms of gate tunneling and off-state drain currents.
DA - 2000/8//
PY - 2000/8//
DO - 10.1109/16.853042
VL - 47
IS - 8
SP - 1636-1644
SN - 1557-9646
KW - band-to-band tunneling
KW - gate-induced-drain-leakage current (GIDL)
KW - leakage current
KW - modeling
KW - MOS devices
KW - power consumption
KW - tunneling
KW - ultrathin oxides
ER -
TY - JOUR
TI - Use of PML absorbing layers for the truncation of the head model in cellular telephone simulations
AU - Lazzi, G.
AU - Gandhi, O. P.
AU - Sullivan, D. M.
T2 - IEEE Transactions on Microwave Theory and Techniques
DA - 2000///
PY - 2000///
VL - 48
IS - 11 pt.2
SP - 2033-2039
ER -
TY - JOUR
TI - NMR quantum computation with indirectly coupled gates
AU - Collins, David
AU - Kim, K. W.
AU - Holton, W. C.
AU - Sierzputowska-Gracz, H.
AU - Stejskal, E. O.
T2 - Physical Review A
AB - An NMR realization of a two-qubit quantum gate which processes quantum information indirectly via couplings to a spectator qubit is presented in the context of the Deutsch-Jozsa algorithm. This enables a successful comprehensive NMR implementation of the Deutsch-Jozsa algorithm for functions with three argument bits and demonstrates a technique essential for multi-qubit quantum computation.
DA - 2000/7/12/
PY - 2000/7/12/
DO - 10.1103/physreva.62.022304
VL - 62
IS - 2
SP -
J2 - Phys. Rev. A
LA - en
OP -
SN - 1050-2947 1094-1622
UR - http://dx.doi.org/10.1103/PhysRevA.62.022304
DB - Crossref
ER -
TY - JOUR
TI - Exploring the effects of tensile and compressive strain on two-dimensional electron gas properties within InGaN quantum wells
AU - LeBoeuf, SF
AU - Aumer, ME
AU - Bedair, SM
T2 - APPLIED PHYSICS LETTERS
AB - With the advent of high-quality AllnGaN quaternary cladding, InGaN quantum wells (QWs) have now been studied under both compressive and tensile strain, as well as no strain at all! This has allowed the experimental investigation of the two-dimensional electron gas (2DEG) properties within InGaN QWs that have been subjected to a full range of strain, opening the doors to a new realm of strain engineering. We present the capacitance–voltage-derived 2DEG properties of several In0.08Ga0.92N QWs subject to various degrees of strain. Strained In0.08Ga0.92N QWs clad with GaN exhibit better 2DEG confinement than their unstrained Al0.24In0.09Ga0.67N-clad counterparts. For the case of compressive-strained QWs, it was found that the peak 2DEG concentration increases linearly with well width. In contrast, such dependence was not observed for the case of unstrained QWs with lattice-matched cladding. Of further interest, the 2DEGs for compressive and tensile In0.08Ga0.92N QWs are localized at opposite interfaces, which is attributed to strain-induced piezoelectric fields pointing in opposite directions.
DA - 2000/7/3/
PY - 2000/7/3/
DO - 10.1063/1.126889
VL - 77
IS - 1
SP - 97-99
SN - 0003-6951
ER -
TY - JOUR
TI - Effects of tensile and compressive strain on the luminescence properties of AlInGaN/InGaN quantum well structures
AU - Aumer, ME
AU - LeBoeuf, SF
AU - Bedair, SM
AU - Smith, M
AU - Lin, JY
AU - Jiang, HX
T2 - APPLIED PHYSICS LETTERS
AB - We report on the luminescence properties of AlInGaN/In0.08Ga0.92N quantum wells (QWs) subjected to a variable amount of lattice mismatch induced strain, including wells with zero strain, compressive strain, and tensile strain. The primary peak emission energy of a 3 nm In0.08Ga0.92N QW was redshifted by 236 meV as the stress in the well was changed from −0.86% (compressive) to 0.25% (tensile). It was also found that the photoluminescence intensity of quantum wells decreased with increasing strain. A lattice matched 9 nm QW exhibited a luminescence intensity that is three times greater than its highly strained counterpart. The potential applications of this strain engineering will be discussed.
DA - 2000/8/7/
PY - 2000/8/7/
DO - 10.1063/1.1306648
VL - 77
IS - 6
SP - 821-823
SN - 0003-6951
ER -
TY - JOUR
TI - Comparative physical and electrical metrology of ultrathin oxides in the 6 to 1.5 nm regime
AU - Ahmed, K
AU - Ibok, E
AU - Bains, G
AU - Chi, D
AU - Ogle, B
AU - Wortman, JJ
AU - Hauser, , JR
T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES
AB - In this work, five methods for measuring the thickness of ultra-thin gate oxide layers in MOS structures were compared experimentally on n/sup +/ poly-SiO/sub 2/-p-Si structures. Three methods are based on electrical capacitance-voltage (C-V) and current-voltage (I-V) data and the other two methods are HRTEM and optical measurement. MOS capacitors with oxide thickness in the range 17-55 /spl Aring/ have been used in this study. We found that thickness extracted using QM C-V and HRTEM agree within 1.0 /spl Aring/ over the whole thickness range when a dielectric constant of 3.9 was used. Comparison between thickness extracted using quantum interference (QI) I-V technique and optical measurement were also within 1.0 /spl Aring/ for thickness 31-47 /spl Aring/. However, optical oxide thickness was consistently lower than the TEM thickness by about 2 /spl Aring/ over the thickness range under consideration. Both optical measurement and QM C-V modeling yield the same thickness as the nominal oxide thickness increases (>50 /spl Aring/).
DA - 2000/7//
PY - 2000/7//
DO - 10.1109/16.848276
VL - 47
IS - 7
SP - 1349-1354
SN - 0018-9383
KW - capacitance-voltage
KW - MOS
KW - ultrathin
ER -
TY - JOUR
TI - Thermal conductivity of Si/Ge superlattices: A realistic model with a diatomic unit cell
AU - Kiselev, A. A.
AU - Kim, K. W.
AU - Stroscio, M. A.
T2 - Physical Review B
AB - This paper considers the effects of a realistic description of phonons in diamondlike semiconductors and their conversion on the abrupt heterointerfaces on the thermal conductivity of the superlattice (SL). Due to the much larger mass of Ge atoms in comparison to Si, the most probable acoustic phonons in Si layers at room temperature have no counterpart in Ge. In simplified models where Si and Ge are simulated by monatomic crystals with fitted parameters, this leads to the highly efficient trapping of high-energy acoustic phonons in Si layers and drastic reduction of the SL thermal conductivity. The proposed approach incorporates the optical branches and the effective conversion of the phonons at interfaces extends the temperature range for which the model is valid and thereby leads to corrections to predicted thermal conductivity.
DA - 2000/9/15/
PY - 2000/9/15/
DO - 10.1103/physrevb.62.6896
VL - 62
IS - 11
SP - 6896-6899
J2 - Phys. Rev. B
LA - en
OP -
SN - 0163-1829 1095-3795
UR - http://dx.doi.org/10.1103/PhysRevB.62.6896
DB - Crossref
ER -
TY - JOUR
TI - The performance and reliability of PMOSFET's with ultrathin silicon nitride/oxide stacked gate dielectrics with nitrided Si-SiO2 interfaces prepared by remote plasma enhanced CVD and post-deposition rapid thermal annealing
AU - Wu, Y
AU - Lucovsky, G
AU - Lee, YM
T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES
AB - Ultrathin (/spl sim/1.9 nm) nitride/oxide (N/O) dual layer gate dielectrics have been prepared by the remote plasma enhanced chemical vapor deposition (RPECVD) of Si/sub 3/N/sub 4/ onto oxides. Compared to PMOSFET's with heavily doped p/sup +/-poly-Si gates and oxide dielectrics, devices incorporating the RPECVD stacked nitrides display reduced tunneling current, effectively no boron penetration and improved interface characteristics. By preventing boron penetration into the bulk oxide and channel region, gate dielectric reliability and short channel effects are significantly improved. The hole mobility in devices with N/O dielectrics with equivalent oxide thickness between 1.8 nm and 3.0 nm is not significantly degraded. Because nitrogen is transported to the substrate/dielectric interface during post-deposition annealing, degradation of mobility during hot carrier stressing is significantly reduced for N/O devices. Compared with oxide, the tunneling current for N/O films with /spl sim/1.9 nm equivalent oxide thickness is lower by about an order of magnitude due to the larger physical thickness. Suppression of boron transport in nitride layers is explained by a percolation model in which boron transport is blocked in sufficiently thick nitrides, and is proportional to the oxide fraction in oxynitride alloys.
DA - 2000/7//
PY - 2000/7//
DO - 10.1109/16.848278
VL - 47
IS - 7
SP - 1361-1369
SN - 1557-9646
KW - boron penetration
KW - gate dielectrics
KW - nitride
KW - N/O
KW - oxide
ER -
TY - CHAP
TI - QoS rewards and risks: A multi-market approach to resource allocation
AU - Fulp, E. W.
AU - Reeves, D. S.
T2 - Networking 2000: Broadband communications, high performance networking, and performance of communication networks / IFIP-TC6/European Commission International Conference, Paris, France, May 2000, proceedings
AB - A large number of network applications require a particular Quality of Service (QoS), that can be provided through proper network resource allocation. Furthermore, certain applications (multimedia oriented) may require guarantees of resource availability for predictable QoS. This paper introduces a distributed multi-market approach to network resource allocation. In this approach link bandwidth is bought and sold in two types of markets: the reservation market and the spot market. Together, these markets provide bandwidth guarantees and immediate availability. In addition, users have more flexibility when purchasing bandwidth that will maximize their individual QoS. Experimental results, using actual MPEG-compressed traffic, will also demonstrate the rewards and risks associated with purchasing various amounts in the reservation and spot markets.
CN - TK5103.4 .I33 2000
PY - 2000///
DO - 10.1007/3-540-45551-5_79
VL - 1815
SP - 945-956
PB - Berlin; New York: Springer
SN - 354067506X
ER -
TY - JOUR
TI - QR-RLS algorithm for error diffusion of color images
AU - Unal, G. B.
AU - Yardimci, Y.
AU - Arikan, O.
AU - Cetin, A. E.
T2 - Optical Engineering (Redondo Beach, Calif.)
DA - 2000///
PY - 2000///
VL - 39
IS - 11
SP - 2860-2866
ER -
TY - JOUR
TI - Parallel image processing with the block data parallel architecture (Reprinted from Proceedings of the IEEE, vol 84, pg 947-968, 1996)
AU - Alexander, WE
AU - Reeves, DS
AU - Gloster, CS
T2 - IBM JOURNAL OF RESEARCH AND DEVELOPMENT
AB - Many digital signal and image processing algorithms can be speeded up by executing them in parallel on multiple processors. The speed of parallel execution is limited by the need for communication and synchronization between processors. In this paper, we present a paradigm for parallel processing that we call the block data flow paradigm (BDFP). The goal of this paradigm is to reduce interprocessor communication, and relax the synchronization requirements for such applications. We present the block data parallel architecture which implements this paradigm, and we present methods for mapping algorithms onto this architecture. We illustrate this methodology for several applications including twodimensional (2-D) digital filters, the 2-D discrete cosine transform, QR decomposition of a matrix, and Cholesky factorization of a matrix. We analyze the resulting system performance for these applications with regard to speedup and efficiency as the number of processors increases. Our results demonstrate that the block data parallel architecture is a flexible, high-performance solution for numerous digital signal and image processing algorithms.
DA - 2000/9//
PY - 2000/9//
DO - 10.1147/rd.445.0681
VL - 44
IS - 5
SP - 681-702
SN - 0018-8646
ER -
TY - PAT
TI - Integrated circuits having cooperative ring oscillator clock circuits therein to minimize clock skew
AU - Hall, L. C.
AU - Clements, S. M.
AU - Liu, W.-T.
AU - Bilbro, G. L.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - JOUR
TI - Generation and amplification of sub-THz coherent acoustic phonons under the drift of two-dimensional electrons
AU - Komirenko, S. M.
AU - Kim, K. W.
AU - Demidenko, A. A.
AU - Kochelap, V. A.
AU - Stroscio, M. A.
T2 - Physical Review B
AB - This paper addresses the \ifmmode \check{C}\else \v{C}\fi{}erenkov emission of high-frequency confined acoustic phonons by drifting electrons in a quantum well. We have found that the electron drift can cause strong phonon amplification (generation). The spectra of the confined modes are calculated and their confinement properties are analyzed. The spectra consist of a set of branches, and for each branch, the confinement effect increases considerably when the phonon wave vector increases. We have studied the coupling between electrons and confined modes and proved that the coupling is a nonmonotonous function of the wave vector for each of the phonon branches. We have obtained a general formula for the gain coefficient as a function of the phonon frequency and the structure parameters. For each of the branches, the amplification takes place in a spectrally separated and quite narrow amplification band in the high-frequency range. For the example of p-doped Si/SiGe/Si heterostructures it is shown that the amplification coefficients of the order of hundreds of ${\mathrm{cm}}^{\ensuremath{-}1}$ can be achieved in the sub-THz frequency range.
DA - 2000/9/15/
PY - 2000/9/15/
DO - 10.1103/physrevb.62.7459
VL - 62
IS - 11
SP - 7459-7469
J2 - Phys. Rev. B
LA - en
OP -
SN - 0163-1829 1095-3795
UR - http://dx.doi.org/10.1103/PhysRevB.62.7459
DB - Crossref
ER -
TY - CHAP
TI - Fair efficient call admission control policies for heterheneous traffic streams in a packet routing server using the DTM technology
AU - Chang, C. J.
AU - Nilsson, A. A.
T2 - Networking 2000: Broadband communications, high performance networking, and performance of communication networks / IFIP-TC6/European Commission International Conference, Paris, France, May 2000, proceedings
AB - The issue of fairness has new prominence in multi-service networks where the diverse service characteristics can result in a very unfair resource allocation unless the issue is considered explicitly at the design stage. In this paper, we study the fairness issue of a Packet Routing Server (PRS) architecture based on the Dynamic synchronous Transfer Mode (DTM) access technology. DTM provides a dynamic bandwidth allocation scheme to support various types of telecommunications applications. However, this flexibility also promotes within DTM a lack of fairness concerning medium utilization as no enforcement scheme has been implemented in the DTM access technology. We demonstrate the unfairness of the DTM access scheme and provide resource reservation schemes to ensure that each type of traffic has its relatively fair share of the medium. Our results show that the dynamic resource reservation achieves a better medium utilization and a fair access of the resource in the DTM PRS.
CN - TK5103.4 .I33 2000
PY - 2000///
DO - 10.1007/3-540-45551-5_52
VL - 1815
SP - 620-631
PB - Berlin; New York: Springer
SN - 354067506X
ER -
TY - JOUR
TI - Ensemble Monte Carlo study of channel quantization in a 25-nm n-MOSFET
AU - Williams, S.C.
AU - Kim, K.W.
AU - Holton, W.C.
T2 - IEEE Transactions on Electron Devices
AB - We develop a self-consistent, ensemble Monte Carlo device simulator that is capable of modeling channel carrier quantization and polysilicon gate depletion in nanometer-scale n-MOSFETs. A key feature is a unique bandstructure expression for quantized electrons. Carrier quantization and polysilicon depletion are examined against experimental capacitance-voltage (C-V) data. Calculated drain current values are also compared with measured current-voltage data for an n-MOSFET with an effective channel length (L/sub eff/) of 90 nm. Finally, the full capabilities of the Monte Carlo simulator are used to investigate the effects of carrier confinement in a L/sub eff/=25 nm n-MOSFET. In particular, the mechanisms affecting the subband populations of quantized electrons in the highly nonuniform channel region are investigated. Simulation results indicate that the occupation levels in the subbands are a strong function of the internal electric field configurations and two-dimensional (2-D) carrier scattering.
DA - 2000///
PY - 2000///
DO - 10.1109/16.870564
VL - 47
IS - 10
SP - 1864-1872
J2 - IEEE Trans. Electron Devices
OP -
SN - 0018-9383
UR - http://dx.doi.org/10.1109/16.870564
DB - Crossref
KW - MOSFETs
KW - simulation
KW - quantization
ER -
TY - JOUR
TI - Electrical properties of RuO2 gate electrodes for dual metal gate Si-CMOS
AU - Zhong, HC
AU - Heuss, G
AU - Misra, V
T2 - IEEE ELECTRON DEVICE LETTERS
AB - The rutile stoichiometric phase of RuO/sub 2/, deposited via reactive sputtering, was evaluated as a gate electrode for Si-PMOS devices. Thermal and chemical stability of the electrodes was studied at annealing temperatures of 400/spl deg/C and 600/spl deg/C in N/sub 2/. X-ray diffraction patterns were measured to study grain structure and interface reactions. Very low resistivity values were observed and were found to be a strong function of temperature. Electrical properties were evaluated on MOS capacitors, which indicated that the workfunction of RuO/sub 2/ was compatible with PMOS devices. Excellent stability of oxide thickness, flatband voltage and gate current as a function of temperature was also found. Breakdown fields were also measured for the samples before and after annealing.
DA - 2000/12//
PY - 2000/12//
DO - 10.1109/55.887476
VL - 21
IS - 12
SP - 593-595
SN - 0741-3106
KW - advanced gatestacks
KW - CMOS
KW - conducting oxides
KW - gate electrodes
KW - metal gates
KW - MOS capacitors
KW - RuO2
ER -
TY - JOUR
TI - Critical layer thickness determination of GaN/InGaN/GaN double heterostructures
AU - Reed, M. J.
AU - El-Masry, N. A.
AU - Parker, C. A.
AU - Roberts, J. C.
AU - Bedair, S. M.
T2 - Applied Physics Letters
AB - We report on the critical layer thickness of GaN/InxGa1−xN/GaN double heterostructures in the composition range 0<x<0.16. The evolution of the photoluminescence spectra and the electrical properties of the InxGa1−xN well were monitored as its thickness was increased for a given % InN. Due to compressive stress and possible quantum-size effects, the emission energy from thin InGaN wells is blueshifted relative to thicker wells of a given % InN. The transition from the blueshifted emission of strained InGaN to redshifted emission of relaxed InGaN is also accompanied by dramatic changes in film conductivity and mobility. The thickness at which the onset of relaxation occurs is deemed the critical layer thickness of the InxGa1−xN film.
DA - 2000///
PY - 2000///
DO - 10.1063/1.1334361
VL - 77
IS - 25
SP - 4121-4123
ER -
TY - JOUR
TI - Coherent optical phonon generation by the electric current in quantum wells
AU - Komirenko, S. M.
AU - Kim, K. W.
AU - Kochelap, V. A.
AU - Fedorov, I.
AU - Stroscio, M. A.
T2 - Applied Physics Letters
AB - This letter addresses the effect of generation of confined LO phonons by drifting electrons in quantum wells. We have derived a general formula for the phonon increment as a function of phonon wave vector, electron drift velocity, and structure parameters. Numerical estimates of the phonon increment and the phonon lifetimes have shown that AlAs/GaAs/AlAs and GaSb/InSb/GaSb quantum well structures can demonstrate the effect of coherent LO phonon generation by the electric current.
DA - 2000/12/18/
PY - 2000/12/18/
DO - 10.1063/1.1334653
VL - 77
IS - 25
SP - 4178-4180
J2 - Appl. Phys. Lett.
LA - en
OP -
SN - 0003-6951 1077-3118
UR - http://dx.doi.org/10.1063/1.1334653
DB - Crossref
ER -
TY - CHAP
TI - Buffer size requirements for delay sensitive traffic considering discrete effects and service-latency in ATM switches
AU - Wright, S.
AU - Viniotis, Y.
T2 - Networking 2000: Broadband communications, high performance networking, and performance of communication networks / IFIP-TC6/European Commission International Conference, Paris, France, May 2000, proceedings
AB - Various approaches to buffer size and management for output buffering in ATM switches supporting delay sensitive traffic are reviewed. Discrete worst case arrival and service functions are presented. Using this format, bounds are developed for buffer size under zero cell loss for leaky bucket constrained sources. Tight bounds are developed for the case of discrete arrival functions with fluid servers and fluid arrival functions with discrete servers. A bound on the buffer size is also proposed for the case of discrete arrival and service process. While this bound is not exact, the maximum gain that could be achieved by a tighter bound is bounded. In some cases it is possible to reduce the buffer size requirements through over allocation of link bandwidth. Feasibility conditions for this scenario are developed.
CN - TK5103.4 .I33 2000
PY - 2000///
DO - 10.1007/3-540-45551-5_6
VL - 1815
SP - 61-73
PB - Berlin; New York: Springer
SN - 354067506X
ER -
TY - JOUR
TI - Analysis of leakage currents and impact on off-state power consumption for CMOS technology in the 100-nm regime
AU - Henson, WK
AU - Yang, N
AU - Kubicek, S
AU - Vogel, EM
AU - Wortman, JJ
AU - De Meyer, K
AU - Naem, A
T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES
AB - Off-state leakage currents have been investigated for sub-100 nm CMOS technology. The two leakage mechanisms investigated in this work include conventional off-state leakage due to short channel effects and gate leakage through ultrathin gate oxides. The conventional off-state leakage due to short channel effects exhibited the similar characteristics as previously published; however, gate leakage introduces two significant consequences with respect to off-state power consumption: (1) an increase in the number of transistors contributing to the total off-state power consumption of the chip and (2) an increase in the conventional off-state current due to gate leakage near the drain region of the device. Using experimentally measured data, it is estimated that gate leakage does not exceed the off-state specifications of the National Technology Roadmap for Semiconductors for gate oxides as thin as 1.4 to 1.5 nm for high performance CMOS. Low power and memory applications may be limited to an oxide thickness of 1.8 to 2.0 nm in order to minimize the off-state power consumption and maintain an acceptable level of charge retention. The analysis in this work suggests that reliability will probably limit silicon oxide scaling for high performance applications whereas gate leakage will limit gate oxide scaling for low power and memory applications.
DA - 2000/7//
PY - 2000/7//
DO - 10.1109/16.848282
VL - 47
IS - 7
SP - 1393-1400
SN - 1557-9646
KW - MOS devices
KW - off-state leakage
KW - power consumption
KW - ultrathin gate oxide
ER -
TY - JOUR
TI - A new lumped-elements power-combining amplifier based on an extended resonance technique
AU - Martin, AL
AU - Mortazawi, A
T2 - IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
AB - A technique for combining power FETs in the output stage of a power amplifier is presented. The active devices are combined with simple inductor/capacitor networks and can be laid out across a single die while still allowing each device to be independently accessed for biasing. The inductors can range from fully integrated spirals to simple wire bonds, making this technique applicable over a broad range of frequencies. For linear RF power applications this is an effective technique for spreading more heat, while at high frequencies the junction parasitics are easily absorbed into this type of design. DC losses are minimized since each device can be biased individually, furthermore, it is possible to adjust the bias separately for each device to account for device nonuniformity across the die.
DA - 2000/9//
PY - 2000/9//
DO - 10.1109/22.869001
VL - 48
IS - 9
SP - 1505-1515
SN - 1557-9670
KW - extended resonance
KW - power amplifier
KW - power combining
ER -
TY - JOUR
TI - A Ka band extended resonance power amplifier
AU - Martin, AL
AU - Mortazawi, A
T2 - IEEE MICROWAVE AND GUIDED WAVE LETTERS
AB - A Ka-band power amplifier based on an extended resonance power combining technique is presented. This technique enables the design of planar microstrip power amplifiers that are much more compact than those based on traditional quarter-wave hybrid designs. The extended resonance power combining amplifier presented here combines four GaAs MESFETs at 32.8 GHz using a planar structure that is more than 40% smaller than a quarter-wave hybrid power combining amplifier design, while the power-combining efficiency is 92%. The measured small-signal gain at 32.8 GHz is 4.6 dB, and at 1-dB compression the output power is 23.3 dBm with a power-added efficiency of 12.8%.
DA - 2000/11//
PY - 2000/11//
DO - 10.1109/75.888837
VL - 10
IS - 11
SP - 475-477
SN - 1051-8207
KW - extended resonance
KW - Ka band
KW - power combining
ER -
TY - JOUR
TI - Voltage regulator for contactor ridethrough
AU - Kelley, A
AU - Cavaroc, J
AU - Ledford, J
AU - Vassalli, L
T2 - IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS
AB - This paper describes a simple voltage regulator that allows contactors to ride through power line disturbances such as sags and dropouts. The voltage regulator protects critical equipment in continuous process industries and prevents loss caused by downtime. The voltage regulator is inexpensive and easily retrofitted into existing installations. A field trial of the voltage regulator has been successfully completed.
DA - 2000///
PY - 2000///
DO - 10.1109/28.833790
VL - 36
IS - 2
SP - 697-704
SN - 0093-9994
KW - contactor
KW - power quality
KW - voltage sag
ER -
TY - JOUR
TI - The planar Hall effect in MnSb films and MnSb-based multilayer structures grown on (111)B GaAs
AU - Reed, ML
AU - Liu, SX
AU - Roberts, JC
AU - Stadelmaier, HH
AU - Bedair, SM
AU - El-Masry, NA
T2 - JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS
AB - We report on the planar Hall effect properties of ferromagnetic MnSb films in ferromagnetic/nonmagnetic multilayer structures. We demonstrate an enhancement of the relative planar Hall resistivity when MnSb is used in multilayer structures. In single-layer MnSb films, the relative planar Hall resistivity is ∼2.5%, while the multilayer structures demonstrate up to ∼41% change. The following structures were prepared by pulsed laser deposition and grown on (1 1 1)B GaAs: epitaxial and polycrystalline MnSb thin films, MnSb/Bi multilayers and Mn/Sb/Mn/Bi multilayers. The multilayer structures also demonstrate temperature dependence, as the relative resistivity decreases to ∼30% when the temperature decreases to 100 K.
DA - 2000/8//
PY - 2000/8//
DO - 10.1016/S0304-8853(00)00403-0
VL - 218
IS - 2-3
SP - 177-181
SN - 0304-8853
KW - MnSb
KW - multilayers
KW - planar Hall effect
KW - GaAs
KW - ferromagnetic
ER -
TY - JOUR
TI - System-level power consumption modeling and tradeoff analysis techniques for superscalar processor design
AU - Conte, TM
AU - Menezes, KN
AU - Sathaye, SW
AU - Toburen, MC
T2 - IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS
AB - This paper presents systematic techniques to find low-power high-performance superscalar processors tailored to specific user applications. The model of power is novel because it separates power into architectural and technology components. The architectural component is found via trace-driven simulation, which also produces performance estimates. An example technology model is presented that estimates the technology component, along with critical delay time and real estate usage. This model is based on case studies of actual designs. It is used to solve an important problem: decreasing power consumption in a superscalar processor without greatly impacting performance. Results are presented from runs using simulated annealing to reduce power consumption subject to performance reduction bounds. The major contributions of this paper are the separation of architectural and technology components of dynamic power the use of trace-driven simulation for architectural power measurement, and the use of a near-optimal search to tailor a processor design to a benchmark.
DA - 2000/4//
PY - 2000/4//
DO - 10.1109/92.831433
VL - 8
IS - 2
SP - 129-137
SN - 1063-8210
KW - high-level synthesis
KW - instruction-level parallelism
KW - near-optimal search
KW - power dissipation
KW - superscalar
ER -
TY - PAT
TI - System and method for powering, controlling, and communicating with multiple inductively-powered devices
AU - Mueller, J. S.
AU - Nagle, H. T.
AU - Gyurcsik, R. S.
AU - Kelley, A. W.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - JOUR
TI - A waveguide-based aperture-coupled patch amplifier array - Full-wave system analysis and experimental validation
AU - Yakovlev, AB
AU - Ortiz, S
AU - Ozkar, M
AU - Mortazawi, A
AU - Steer, MB
T2 - IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
AB - In this paper, the full-wave analysis and experimental verification of a waveguide-based aperture-coupled patch amplifier array are presented. The spatial power-combining amplifier array is modeled by the decomposition of the entire system into several electromagnetically coupled modules. This includes a method of moments integral equation formulation of the generalized scattering matrix (GSM) for an N-port waveguide-based patch-to-slot transition; a mode-matching analysis of the GSM for the receiving and transmitting rectangular waveguide tapers; and a finite-element analysis of the waveguide-to-microstrip line junctions. An overall response of the system is obtained by cascading GSMs of electromagnetic structures and the S-parameters of amplifier networks. Numerical and experimental results are presented for the single unit cell and 2/spl times/3 amplifier array operating at X-band. The results are shown for the rectangular aperture-coupled patch array, although the analysis is applicable to structures with arbitrarily shaped planar electric and magnetic surfaces.
DA - 2000/12//
PY - 2000/12//
DO - 10.1109/22.899032
VL - 48
IS - 12
SP - 2692-2699
SN - 0018-9480
KW - amplifier network
KW - dyadic Green's functions
KW - generalized scattering matrix (GSM)
KW - integral equations
KW - method of moments (MoM)
KW - patch
KW - slot arrays
KW - spatial power combining
KW - waveguide transition
ER -
TY - JOUR
TI - Surface residue island nucleation in anhydrous HF/alcohol vapor processing of Si surfaces
AU - Carter, RJ
AU - Hauser, , JR
AU - Nemanich, RJ
T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY
AB - Anhydrous HF/methanol vapor‐phase chemistries were employed to etch surfaces at low pressure (5–50 Torr) and ambient temperature. The oxides on Si were formed from the following: (i) RCA chemical cleaning and (ii) UV ozone treatment. Atomic force microscopy (AFM) and lateral force microscopy (LFM) were used to analyze the HF vapor‐cleaned Si surfaces. AFM/LFM displayed residue islands distributed randomly upon the Si surface as a result of vapor‐phase cleaning. As a result of etching RCA chemical oxides, the average lateral dimension of the residue islands is 40 nm and the average height of the islands is 6 nm. As a result of etching UV‐ozone oxides, the average lateral dimension of the residue islands is 30 nm, and the average height of the islands is 3.5 nm. A decrease in residue island density is observed after the removal of a UV‐ozone oxide compared to RCA chemical oxide removal. Secondary ion mass spectroscopy was used to characterize chemical impurities (O, C, F, and N) in the films and and the Si surface after HF vapor‐phase cleaning. The constituents of the residue islands have been attributed to nitrogen impurities and silicon atoms imbedded in the passivating oxides. Results indicate that condensation of methanol vapor onto the bare Si surface, after oxide removal, is necessary for residue island formation. We suggest a model in which residue island nucleation occurs from nonvolatile N‐Si complexes that form hydrogen bonds with methanol molecules and diffuse into the adsorbed alcohol layer. The molecular impurities then interact and form residue islands. © 2000 The Electrochemical Society. All rights reserved.
DA - 2000/9//
PY - 2000/9//
DO - 10.1149/1.1393929
VL - 147
IS - 9
SP - 3512-3518
SN - 0013-4651
ER -
TY - JOUR
TI - Slipstream processors: Improving both performance and fault tolerance
AU - Sundaramoorthy, K
AU - Purser, Z
AU - Rotenberg, E
T2 - ACM SIGPLAN NOTICES
AB - Processors execute the full dynamic instruction stream to arrive at the final output of a program, yet there exist shorter instruction streams that produce the same overall effect. We propose creating a shorter but otherwise equivalent version of the original program by removing ineffectual computation and computation related to highly-predictable control flow. The shortened program is run concurrently with the full program on a chip multiprocessor or simultaneous multithreaded processor, with two key advantages:1) Improved single-program performance . The shorter program speculatively runs ahead of the full program and supplies the full program with control and data flow outcomes. The full program executes efficiently due to the communicated outcomes, at the same time validating the speculative, shorter program. The two programs combined run faster than the original program alone. Detailed simulations of an example implementation show an average improvement of 7% for the SPEC95 integer benchmarks.2) Fault tolerance . The shorter program is a subset of the full program and this partial-redundancy is transparently leveraged for detecting and recovering from transient hardware faults.
DA - 2000/11//
PY - 2000/11//
DO - 10.1145/356989.357013
VL - 35
IS - 11
SP - 257-268
SN - 0362-1340
ER -
TY - JOUR
TI - Selective area chemical vapor deposition of Si1-xGex thin film alloys by the alternating cyclic method: A thermodynamic analysis II. The system Si-Ge-Cl-H-Ar
AU - Soman, R
AU - Reisman, A
AU - Temple, D
T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY
AB - Results of the thermodynamic analyses of the system Si‐Ge‐Cl‐H‐Ar useful for depositing thin film alloys are presented. It is demonstrated that the use of argon as an inert carrier gas should lower the temperature of deposition of the alloy, increase the efficiency of deposition at a given temperature, and lower the temperature for onset of deposition composition plateaus. In addition, the use of an inert carrier gas such as argon should minimize back diffusion and flow rate perturbations during alternating cyclic (A.C.) selective area deposition of alloys. © 2000 The Electrochemical Society. All rights reserved.
DA - 2000/11//
PY - 2000/11//
DO - 10.1149/1.1394066
VL - 147
IS - 11
SP - 4342-4344
SN - 0013-4651
ER -
TY - JOUR
TI - Selective area chemical vapor deposition of Si1-xGex thin film alloys by the alternating cyclic method: A thermodynamic analysis I. The system Si-Ge-Cl-H
AU - Soman, R
AU - Reisman, A
AU - Temple, D
T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY
AB - To investigate selective area chemical vapor deposition of thin films by the alternating cyclic (A.C.) process, a thermodynamic analysis has been performed over extensive temperature, pressure, input gas ratio, and deposited solid composition ranges. In the A.C. approach thin film deposition via the hydrogen reduction of and is followed cyclically by etching of spurious nuclei from mask regions via an embedded disproportionation reaction. The embedded disproportionation reaction between , , and the nuclei is made dominant when the hydrogen flow is interrupted cyclically. The thermodynamic calculations have been carried out via the computer program, SOLGASMIX, which is based on the minimization of the system's Gibbs free energy, and also using a first principles approach as an integrity check. These calculations have indicated that selective area deposition of thin films by the A.C. method is feasible. The analysis has also defined the parameter space in which to conduct the selective area deposition using the A.C. process. © 2000 The Electrochemical Society. All rights reserved.
DA - 2000/11//
PY - 2000/11//
DO - 10.1149/1.1394065
VL - 147
IS - 11
SP - 4333-4341
SN - 0013-4651
ER -
TY - JOUR
TI - Scalable solid-state quantum computer based on quantum dot pillar structures
AU - Sanders, G. D.
AU - Kim, K. W.
AU - Holton, W. C.
T2 - Physical Review B
AB - We investigate an optically driven quantum computer based on electric dipole transitions within coupled single-electron quantum dots. Our quantum register consists of a free-standing n-type pillar containing a series of pairwise coupled asymmetric quantum dots, each with a slightly different energy structure, and with grounding leads at the top and bottom of the pillar. Asymmetric quantum wells confine electrons along the pillar axis, and a negatively biased gate wrapped around the center of the pillar allows for electrostatic confinement in the radial direction. We self-consistently solve coupled Schr\"odinger and Poisson equations and develop a design for a three-qubit quantum register. Our results indicate that a single gate electrode can be used to localize a single electron in each of the quantum dots. Adjacent dots are strongly coupled by electric dipole-dipole interactions arising from the dot asymmetry, thus enabling rapid computation rates. The dots are tailored to minimize dephasing due to spontaneous emission and phonon scattering and to maximize the number of computation cycles. The design is scalable to a large number of qubits.
DA - 2000/3/15/
PY - 2000/3/15/
DO - 10.1103/physrevb.61.7526
VL - 61
IS - 11
SP - 7526-7535
J2 - Phys. Rev. B
LA - en
OP -
SN - 0163-1829 1095-3795
UR - http://dx.doi.org/10.1103/PhysRevB.61.7526
DB - Crossref
ER -
TY - JOUR
TI - Limitations of conductance to the measurement of the interface state density of MOS capacitors with tunneling gate dielectrics
AU - Vogel, E. M.
AU - Henson, W. K.
AU - Richter, C. A.
AU - Suehle, J. S.
T2 - IEEE Transactions on Electron Devices
DA - 2000///
PY - 2000///
VL - 47
IS - 3
SP - 601-608
ER -
TY - JOUR
TI - Epitaxial Y2O3 films grown on Si(111) by pulsed-laser ablation
AU - Hunter, ME
AU - Reed, MJ
AU - El-Masry, NA
AU - Roberts, JC
AU - Bedair, SM
T2 - APPLIED PHYSICS LETTERS
AB - Y 2 O 3 has a relatively high dielectric constant (13–17) leading to several potential applications. In this work, pulsed-laser deposition was used to grow epitaxial Y2O3 films on Si(111) substrates. Structural characterization indicated two-dimensional growth without the formation of an amorphous interfacial layer. Annealing in either Ar or O2 was found to induce an O2 diffusion reaction resulting in the formation of two interfacial amorphous layers. Electrical characterization by capacitance–voltage and current–voltage indicated that the as-grown samples were poor insulating films. Annealing the samples improved the electrical performance by lowering leakage currents and exhibiting inversion during capacitance–voltage testing. This epitaxial growth points toward the possibility of the heteroepitaxial growth of silicon on insulator device structures.
DA - 2000/4/3/
PY - 2000/4/3/
DO - 10.1063/1.126217
VL - 76
IS - 14
SP - 1935-1937
SN - 0003-6951
ER -
TY - JOUR
TI - Energy-dependent electron scattering via interaction with optical phonons in wurtzite crystals and quantum wells
AU - Komirenko, S. M.
AU - Kim, K. W.
AU - Stroscio, M. A.
AU - Dutta, M.
T2 - Physical Review B
AB - A formalism for the calculation of the scattering rate in wurtzite-type crystals and quantum wells (QW's) is developed taking into account features of the optical phonon spectra in an optically anisotropic medium. The electron-scattering rate due to the interaction with infrared/Raman-active polar optical phonons in GaN, bulk material, and heterostructures, is investigated. To determine the dependence of scattering rate on optical anisotropy and dimensionally induced transformation of the phonon spectra, three cases are considered: (a) bulk material with different orientations of the electron wave vector with respect to the optical axis; (b) a system in which bulk phonons interact with electrons confined in a QW; and (c) free-standing and embedded QW's where the effects of confinement of both electron and phonon subsystems are taken into account. It is found that the scattering rate depends weakly on the initial orientation of the electron wave vector. Exceptions are the energy intervals which correspond to the threshold values for emission of both TO-like and LO-like bulk phonons. Our results reveal a complex and strong dependence of the electron-scattering rate on the dispersion of a particular mode. Moreover, this dependence is found to be the main factor which determines electron-phonon scattering in wurtzite heterostructures, in particular, through the relation between phonon phase and group velocities. For the optically anisotropic media considered, the effect of spatial localization of the phonon modes on the scattering rate is found to be as strong as the effect of electron confinement.
DA - 2000/1/15/
PY - 2000/1/15/
DO - 10.1103/physrevb.61.2034
VL - 61
IS - 3
SP - 2034-2040
J2 - Phys. Rev. B
LA - en
OP -
SN - 0163-1829 1095-3795
UR - http://dx.doi.org/10.1103/PhysRevB.61.2034
DB - Crossref
ER -
TY - JOUR
TI - Cerenkov generation of high-frequency confined acoustic phonons in quantum wells
AU - Komirenko, S. M.
AU - Kim, K. W.
AU - Demidenko, A. A.
AU - Kochelap, V. A.
AU - Stroscio, M. A.
T2 - Applied Physics Letters
AB - We analyze the Cerenkov emission of high-frequency confined acoustic phonons by drifting electrons in a quantum well. We find that the electron drift can cause strong phonon amplification (generation). A general formula for the gain coefficient, alpha, is obtained as a function of the phonon frequency and the structure parameters. The gain coefficient increases sharply in the short-wave region. For the example of a Si/SiGe/Si device it is shown that the amplification coefficients of the order of hundreds of 1/cm can be achieved in the sub-THz frequency range.
DA - 2000/4/3/
PY - 2000/4/3/
DO - 10.1063/1.126195
VL - 76
IS - 14
SP - 1869-1871
J2 - Appl. Phys. Lett.
LA - en
OP -
SN - 0003-6951 1077-3118
UR - http://dx.doi.org/10.1063/1.126195
DB - Crossref
ER -
TY - JOUR
TI - Carbon-13 T-1 rho investigation in two polycarbodiimides
AU - Lim, AR
AU - Chang, JH
AU - Kim, JH
AU - Novak, BM
T2 - SOLID STATE COMMUNICATIONS
AB - The molecular motions of carbons in two polycarbodiimides were studied by 13C cross-polarization, magic angle spinning nuclear magnetic resonance. From these results, the 13C spin-lattice relaxation times in the rotating frame were measured. In this paper we discuss the molecular motions for each carbon of the two polycarbodiimides. The main-chain carbon of polycarbodiimide (I) with methyl has higher activation energy, 23.12 kJ/mol, than that of polycarbodiimide (II) with the methoxy side chain, 10.47 kJ/mol. From these results, we surmise that polycarbodiimide (I) has a bigger steric interaction with the main-chain carbon since the methyl group is located in the meta-position of the aromatic ring.
DA - 2000///
PY - 2000///
DO - 10.1016/S0038-1098(00)00129-0
VL - 115
IS - 1
SP - 23-28
SN - 0038-1098
KW - polymers, elastomers, and plastics
KW - chemical synthesis
KW - nuclear resonances
ER -
TY - JOUR
TI - A two-dimensional numerical simulation of pulsed drain current transients in weak inversion and application to interface trap characterization on small geometry MOSFETs with ultrathin oxides
AU - Ahmed, K
AU - Wortman, JJ
AU - Hauser, , JR
T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES
AB - Based on two-dimensional (2-D) numerical simulation, a pulsed-drain current (PDC) measurement technique in weak inversion is investigated as an alternative to the standard charge-pumping technique for the extraction of interface trap density using small geometry MOSFETs. The PDC technique was found particularly useful for small MOSFETs with sub-20 /spl Aring/ oxides to avoid high gate tunneling current effects. The numerical simulation results are in excellent agreement with the simple analytical expressions used in the PDC technique.
DA - 2000/11//
PY - 2000/11//
DO - 10.1109/16.877189
VL - 47
IS - 11
SP - 2236-2237
SN - 0018-9383
KW - charge pumping
KW - interface traps
KW - ultrathin oxides
KW - weak inversion
ER -
TY - JOUR
TI - Refractive indices and absorption coefficients of MgxZn1-xO alloys
AU - Teng, CW
AU - Muth, JF
AU - Ozgur, U
AU - Bergmann, MJ
AU - Everitt, HO
AU - Sharma, AK
AU - Jin, C
AU - Narayan, J
T2 - APPLIED PHYSICS LETTERS
AB - Indices of refraction for MgxZn1−xO epitaxial films grown by pulsed-laser deposition on sapphire substrates with x up to 0.36 were determined in the range of wavelength 457–968 nm by analysis of optical transmission spectra and prism-coupled waveguide measurements. The dispersion follows the first-order Sellmeier dispersion equation. Absorption coefficients, exciton energy gaps, and binding energies of MgxZn1−xO alloys were determined by transmission spectroscopy. The excitonic absorption features were clearly visible at room temperature despite alloy broadening. These results provide important information for the design and modeling of ZnO/MgZnO heterostructure optoelectronic devices.
DA - 2000/2/21/
PY - 2000/2/21/
DO - 10.1063/1.125912
VL - 76
IS - 8
SP - 979-981
SN - 0003-6951
ER -
TY - JOUR
TI - Properties of rescheduling size invariance for dynamic rescheduling-based VLIW cross-generation compatibility
AU - Conte, TM
AU - Sathaye, S
T2 - IEEE TRANSACTIONS ON COMPUTERS
AB - The object-code compatibility problem in VLIW architectures stems from their statically scheduled nature. Dynamic rescheduling (DR) is a technique to solve the compatibility problem in VLIWs. DR reschedules program code pages at first-time page faults, i.e., when the code pages are accessed for the first time during execution. Treating a page of code as the unit of rescheduling makes it susceptible to the hazards of changes in the page size during the process of rescheduling. This paper shows that the changes in the page size are only due to insertion and/or deletion of NOPs in the code. Further, it presents an ISA encoding, called list encoding, which does not require explicit encoding of the NOPs in the code. Algorithms to perform rescheduling on acyclic code and cyclic code are presented, followed by the discussion of the property of rescheduling-size invariance (RSI) satisfied by list encoding.
DA - 2000/8//
PY - 2000/8//
DO - 10.1109/12.868027
VL - 49
IS - 8
SP - 814-825
SN - 0018-9340
KW - microarchitecture
KW - processor architecture
KW - instruction cache
KW - VLIW
KW - instruction-set encoding
KW - list encoding
ER -
TY - CHAP
TI - Overview of semiconductor devices
AU - Holten, W. C.
AU - Hauser, J. R.
AU - Kim, K. W.
AU - Lynch, W. T.
T2 - Handbook of semiconductor manufacturing technology
A2 - Y. Nishi,
A2 - Doering, R.
CN - TK7871.85 .H3335 2000
PY - 2000///
PB - New York: Marcel Dekker
ER -
TY - JOUR
TI - Equitable allocation of congestion relief cost to transactions
AU - Baran, ME
AU - Banunarayanan, V
AU - Garren, KE
T2 - IEEE TRANSACTIONS ON POWER SYSTEMS
AB - This paper investigates a bid based congestion management scheme for a system that accommodates many bilateral transactions. The paper proposes a new allocation method for allocating the cost of congestion relief to transactions that cause the congestion. The allocation reflects the actual usage of the congested facilities by the transactions and recovers the cost. Also proposed in the paper is a "consistency" test to quantify and test the equity/fairness of the method. Test results illustrate that the method provides better price signals for relieving congestion on lines than the shadow prices. The test results also indicate that the method recovers the cost. The results on consistency indicate that the proposed method is consistent provided that the transactions causing counterflows on congested lines be compensated.
DA - 2000/5//
PY - 2000/5//
DO - 10.1109/59.867144
VL - 15
IS - 2
SP - 579-585
SN - 0885-8950
KW - congestion management
KW - cost allocation
KW - transmission access
ER -
TY - JOUR
TI - Coupling the vertical distribution of ozone in the atmospheric boundary layer
AU - Aneja, VP
AU - Mathur, R
AU - Arya, SP
AU - Li, YX
AU - Murray, GC
AU - Manuszak, TL
T2 - ENVIRONMENTAL SCIENCE & TECHNOLOGY
AB - Vertical measurements of ozone were made on a 610 m tall tower located about 15 km southeast of Raleigh, NC, as part of an effort by the State of North Carolina to develop a State Implementation Plan (SIP) for ozone control in the Raleigh Metropolitan Statistical Area and other metropolitan areas in the state. Ozone was monitored at 10, 250, and 433 m height levels during the summer months of 1993−1995 and at 10, 76, 128, and 433 m height levels during the summer months of 1996−1997. A regional atmospheric chemistry/transport model, called Multiscale Air Quality Simulation Platform (MAQSIP), was also employed to simulate three-dimensional O3 distribution over the eastern United States for a 2-month period (June 1−July 31, 1995). Through complementary analyses of the 5-year data and the modeling results, this paper examines the vertical distribution of ozone concentrations in the atmospheric boundary layer with the emphasis on the contribution of residual ozone aloft to the ground level ozone enhancement d...
DA - 2000/6/1/
PY - 2000/6/1/
DO - 10.1021/es990997+
VL - 34
IS - 11
SP - 2324-2329
SN - 0013-936X
ER -
TY - JOUR
TI - Comparison of a genetic algorithm with a simulated annealing algorithm for the design of an ATM network
AU - Thompson, DR
AU - Bilbro, GL
T2 - IEEE COMMUNICATIONS LETTERS
AB - The genetic algorithm (GA) and simulated annealing algorithm (SA) are empirically compared for the problem of optimizing the topological design of a network. In addition to the usual problem of optimizing only the placement of links, in this paper the number and placement of concentrators are also decision variables for a class of problems using a real set of concentrators, links, and traffic. The average GA solution cost less than the average SA solution.
DA - 2000/8//
PY - 2000/8//
DO - 10.1109/4234.864190
VL - 4
IS - 8
SP - 267-269
SN - 1089-7798
KW - asynchronous transfer mode
KW - genetic algorithm
KW - networks
KW - simulated annealing
KW - topology
ER -
TY - BOOK
TI - Beyond Turk and Hindu: Rethinking religious identities in Islamicate South Asia
AU - Gilmartin, D.
AU - Lawrence, B. B.
CN - BP173 .H5 B47 2000
DA - 2000///
PY - 2000///
PB - Gainesville, FL: University of Florida Press
SN - 0813017815
ER -
TY - JOUR
TI - A slotted-waveguide power amplifier for spatial power-combining applications
AU - Bashirullah, R
AU - Mortazawi, A
T2 - IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
AB - A power-amplifier array based on a slotted-waveguide power divider is presented for quasi-optical applications. The advantages of this structure are its low profile and ease of fabrication. Furthermore, efficient heat sinking of power devices is achieved. An X-band version of the power amplifier using eight MESFET's was designed and fabricated. An output power of 14 W was obtained. At 10 GHz, the amplifier gain and power-combining efficiency were 6.7 dB and 88%, respectively. The 3-dB bandwidth for the circuit was approximately 5%. This technique has the potential to meet the increasing demand for solid-state power amplifiers used in millimeter-wave communications and radar systems.
DA - 2000/7//
PY - 2000/7//
DO - 10.1109/22.848497
VL - 48
IS - 7
SP - 1142-1147
SN - 0018-9480
KW - amplifier
KW - quasi-optical
KW - slotted waveguide
ER -
TY - JOUR
TI - Using an artificial neural network to detect activations during ventricular fibrillation
AU - Young, MT
AU - Blanchard, SM
AU - White, MW
AU - Johnson, EE
AU - Smith, WM
AU - Ideker, RE
T2 - COMPUTERS AND BIOMEDICAL RESEARCH
AB - Ventricular fibrillation is a cardiac arrhythmia that can result in sudden death. Understanding and treatment of this disorder would be improved if patterns of electrical activation could be accurately identified and studied during fibrillation. A feedforward artificial neural network using backpropagation was trained with the Rule-Based Method and the Current Source Density Method to identify cardiac tissue activation during fibrillation. Another feedforward artificial neural network that used backpropagation was trained with data preprocessed by those methods and the Transmembrane Current Method. Staged training, a new method that uses different sets of training examples in different stages, was used to improve the ability of the artificial neural networks to detect activation. Both artificial neural networks were able to correctly classify more than 92% of new test examples. The performance of both artificial neural networks improved when staged training was used. Thus, artificial neural networks may beuseful for identifying activation during ventricular fibrillation.
DA - 2000/2//
PY - 2000/2//
DO - 10.1006/cbmr.1999.1530
VL - 33
IS - 1
SP - 43-58
SN - 0010-4809
ER -
TY - JOUR
TI - Time-dependent dielectric wearout technique with temperature effect for reliability test of ultrathin (< 2.0 nm) single layer and dual layer gate oxides
AU - Wu, YD
AU - Xiang, Q
AU - Yang, JYM
AU - Lucovsky, G
AU - Lin, MR
T2 - MICROELECTRONICS RELIABILITY
AB - Ultrathin gate oxide is essential for low supply voltage and high drive current for ULSI devices. The continuous scaling of oxide thickness has been a challenge on reliability characterization with conventional time-dependent dielectric breakdown (TDDB) technique. A new technique, the time-dependent dielectric wearout (TDDW), is proposed as a more practical and effective way to measure oxide reliability and breakdown compared to conventional TDDB methodology. The wearout of oxide is defined as the gate current reaches a critical current density with the circuit operating voltage level. It is shown that although a noisy soft breakdown always exists for ultrathin oxide, with constant-voltage stressing, a big runaway can also be observed for oxides down to 1.8 nm by monitoring the I–V characteristics at a reduced voltage. Devices are found still working after soft breakdowns, but no longer functional after the big runaway. However, by applying E-model to project dielectric lifetime, it shows that the dielectric lifetime is almost infinity for the thermal oxide at 1.8 nm range. It is also demonstrated that the dual voltage TDDW technique is also able to monitor the breakdown mechanism for nitride/oxide (N/O) dual layer dielectrics.
DA - 2000/12//
PY - 2000/12//
DO - 10.1016/s0026-2714(00)00103-7
VL - 40
IS - 12
SP - 1987-1995
SN - 0026-2714
ER -
TY - JOUR
TI - Silicon planar ACCUFET: improved power MOSFET structure
AU - Bobde, MD
AU - Baliga, BJ
T2 - ELECTRONICS LETTERS
AB - An improved power MOSFET structure in silicon, called the planar ACCUmulation channel field effect transistor (planar ACCUFET) is proposed. In this device, the P base and the deep P+ regions of the conventional DMOSFET are replaced by a depleted N-type base region created using a buried P+ region. Numerical simulations show that the planar ACCUFET has good forward blocking characteristics with low leakage current. The specific on-resistance, as well as the gate charge of the planar ACCUFET are lower than those of a DMOSFET with the same voltage rating. Furthermore, the planar ACCUFET requires a smaller thermal budget for fabrication than the DMOSFET.
DA - 2000/5/11/
PY - 2000/5/11/
DO - 10.1049/el:20000647
VL - 36
IS - 10
SP - 913-915
SN - 0013-5194
ER -
TY - JOUR
TI - Progressive suppression of spin relaxation in two-dimensional channels of finite width
AU - Kiselev, A. A.
AU - Kim, K. W.
T2 - Physical Review B
AB - We have investigated spatiotemporal kinetics of electron spin polarization in a semiconductor narrow two-dimensional (2D) strip and explored the ability to manipulate spin relaxation. Information about the conduction electron spin and mechanisms of spin rotation is incorporated into a Monte Carlo transport simulation program. A model problem, involving linear-in-$k$ splitting of the conduction band responsible for the D'yakonov-Perel' mechanism of spin relaxation in the zinc-blende semiconductors and heterostructures, is solved numerically to yield the decay of spin polarization of an electron ensemble in the 2D channel of finite width. For very wide channels, a conventional 2D value of spin relaxation is obtained. With decreasing channel width, the relaxation time increases rapidly by orders of magnitude. Surprisingly, the crossover point between 2D and quasi-1D behavior is found to be at tens of electron mean-free paths. Thus, classically wide channels can effectively suppress electron spin relaxation.
DA - 2000/5/15/
PY - 2000/5/15/
DO - 10.1103/physrevb.61.13115
VL - 61
IS - 19
SP - 13115-13120
J2 - Phys. Rev. B
LA - en
OP -
SN - 0163-1829 1095-3795
UR - http://dx.doi.org/10.1103/PhysRevB.61.13115
DB - Crossref
ER -
TY - JOUR
TI - Object oriented microwave circuit simulation
AU - Christoffersen, CE
AU - Mughal, UA
AU - Steer, MB
T2 - INTERNATIONAL JOURNAL OF RF AND MICROWAVE COMPUTER-AIDED ENGINEERING
AB - An object-oriented microwave circuit simulation environment is described. The design of the program is intended to offer flexibility without sacrificing efficiency. Recent developments in object-oriented techniques and in C++ compilers are used to obtain a flexible and robust system ideally suited to the development of a global modeling strategy for the integration of circuit, field, thermal, and mechanical analyses. The simulation of spatial power combining systems is used as a vehicle to illustrate the architectural developments of the system. © 2000 John Wiley & Sons, Inc. Int J RF and Microwave CAE 10: 164–182, 2000.
DA - 2000/5//
PY - 2000/5//
DO - 10.1002/(SICI)1099-047X(200005)10:3<164::AID-MMCE4>3.0.CO;2-K
VL - 10
IS - 3
SP - 164-182
SN - 1099-047X
KW - circuit simulation
KW - microwave CAE
KW - object-oriented programming
KW - circuit field interaction
KW - global modeling
ER -
TY - CONF
TI - Micromachines and textiles: Matching two industries
AU - Gahide, S.
AU - Hodge, G.
AU - Oxenham, W.
AU - Seyam, A. M.
AU - Franzon, P. D.
C2 - 2000///
C3 - Manchester 2000 CD-ROM: Papers from the 2000 Annual (80th) World Conference of The Textile Institute. April 16-19, 2000
DA - 2000///
M1 - 2000
SN - 187037245X
ER -
TY - JOUR
TI - Integrated parametric timing optimization of digital systems
AU - Hsieh, HY
AU - Liu, WT
AU - Calvin, R
T2 - IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS
AB - Clock skew optimization is a timing technique to improve system performance by employing scheduled skews at flip-flops. The integrated framework presented here includes a new linear programming (LP) formulation for the clock skew optimization problem. In this work, we use the concept of a global time frame, instead of a local one, to find a set of optimal skews to minimize system cycle time. The framework provides a firm theoretical foundation for scheduling skews into existing designs. Furthermore, we extend the LP formulation to accommodate retiming in the optimization process. Our framework allows for concurrent timing optimization of a design by retiming the circuit and scheduling clock skews at flip-flops. It is shown that this optimization can be formulated as a mixed-integer linear program and significantly reduce the clock period.
DA - 2000/4//
PY - 2000/4//
DO - 10.1109/43.838997
VL - 19
IS - 4
SP - 482-489
SN - 0278-0070
KW - clock skew
KW - optimization
KW - retiming
KW - timing analysis
ER -
TY - JOUR
TI - Quantum confinement of E-1 and E-2 transitions in Ge quantum dots embedded in an Al2O3 or an AlN matrix
AU - Teng, CW
AU - Muth, JF
AU - Kolbas, RM
AU - Hassan, KM
AU - Sharma, AK
AU - Kvit, A
AU - Narayan, J
T2 - APPLIED PHYSICS LETTERS
AB - Alternating layers of Ge quantum dots embedded in either Al2O3 or AlN matrices were deposited on sapphire substrates by pulsed-laser deposition. The characteristics of the dots are shown to be independent of the surrounding matrix. The dots size (73, 130, 160, and 260 ű5%) was controlled by the laser energy density and deposition time, and was characterized by high-resolution transmission electron microscopy. The dots were single crystalline with no apparent GeOx interfacial layers. Transmission spectroscopy at room temperature and 77 K was used to probe the above-band-edge absorption of the Ge nanodots. The spectral positions of both E1/E1+Δ1 and E2 transitions were found to shift to higher energy in the absorption spectra with decreasing nanodot sizes. This indicates that strong quantum-confinement effect permits the optical properties of Ge dots to be modified in a controlled manner.
DA - 2000/1/3/
PY - 2000/1/3/
DO - 10.1063/1.125650
VL - 76
IS - 1
SP - 43-45
SN - 0003-6951
ER -
TY - JOUR
TI - Planarization processes and applications III. As-deposited and annealed film properties
AU - Croswell, RT
AU - Reisman, A
AU - Simpson, DL
AU - Temple, D
AU - Williams, CK
T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY
AB - Although germanosilicates with and without boron and phosphorus dopants have been shown to planarize over steps at temperatures below 800°C, other properties of the films, such as water solubility, electrical conductivity, and mechanical stress, are also concerns with these materials. This study examines these film properties for undoped and boron‐ and/or phosphorus‐doped germanosilicate glasses deposited by plasma‐enhanced chemical vapor deposition. Water solubility resistance was improved for most film compositions after anneals in argon, steam, forming gas, or two‐step anneals in argon and steam or argon and forming gas. Electrical leakage and breakdown behavior was also found to improve in steam anneals and even further in two‐step argon‐steam anneals but leakage increased following forming gas anneals. Mechanical stress was found to generally increase in magnitude following argon anneals, but stress levels were reduced again to near as‐deposited values following a subsequent steam anneal. For the greatest improvement in properties, a two‐step anneal, first in argon and subsequently in steam, is recommended. © 2000 The Electrochemical Society. All rights reserved.
DA - 2000/4//
PY - 2000/4//
DO - 10.1149/1.1393387
VL - 147
IS - 4
SP - 1513-1524
SN - 1945-7111
ER -
TY - JOUR
TI - Photoluminescence and recombination mechanisms in GaN/Al0.2Ga0.8N superlattice
AU - Bergman, L
AU - Dutta, M
AU - Stroscio, MA
AU - Komirenko, SM
AU - Nemanich, RJ
AU - Eiting, CJ
AU - Lambert, DJH
AU - Kwon, HK
AU - Dupuis, RD
T2 - APPLIED PHYSICS LETTERS
AB - A detailed study of photoluminescence (PL) of GaN(1 nm)/Al0.2Ga0.8N(3.3 nm) twenty periods superlattice grown via metal-organic chemical vapor deposition is presented. The dependence of the PL emission energy, linewidth, and intensity on temperature, in the low temperature regime, is consistent with recombination mechanisms involving bandtail states attributed to a small degree of interfacial disorder. The activation energy of the nonradiative centers in our superlattice agrees well with the value we derive for the width of the tail-state distribution. Moreover, we find that the average phonon energy of the phonons that control the interband PL energy at high temperatures is larger for the superlattice than for a high-quality GaN film. This observation is consistent with model calculations predicting the phonon mode properties of GaN–AlN-based wurtzite heterostructures.
DA - 2000/4/10/
PY - 2000/4/10/
DO - 10.1063/1.126225
VL - 76
IS - 15
SP - 1969-1971
SN - 0003-6951
ER -
TY - JOUR
TI - Limitations of the modified shift-and-ratio technique for extraction of the bias dependence of L-eff and R-sd of LDD MOSFET's
AU - Ahmed, K
AU - De, I
AU - Osburn, C
AU - Wortman, J
AU - Hauser, J
T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES
AB - The purpose of this study, based on two-dimensional (2-D) simulation, was to scale effective channel length and series resistance extraction routines for sub-100 nm CMOS devices. We demonstrate that L/sub eff/- and R/sub sd/-gate-bias dependence extracted using a modified shift-and-ratio (M-S&R) method may not give accurate results because of a nonnegligible effective mobility dependence on gate bias. Using a reasonable gate bias-dependent mobility model, one observes a finite V/sub g/ dependence of L/sub eff/ and R/sub sd/ even for devices with degenerately doped drain junction.
DA - 2000/4//
PY - 2000/4//
DO - 10.1109/16.831010
VL - 47
IS - 4
SP - 891-895
SN - 0018-9383
KW - effective channel length
KW - LDD
KW - mobility
KW - MOSFET
KW - series resistance
KW - shift and ratio
KW - thin oxides
ER -
TY - JOUR
TI - Implementation of multispectral image classification on a remote adaptive computer
AU - Figueiredo, M. A.
AU - Gloster, C. S.
AU - Stephens, M.
AU - Graves, C. A.
AU - Nakkar, M.
T2 - VLSI Design (Yverdon, Switzerland)
DA - 2000///
PY - 2000///
VL - 10
IS - 3
SP - 307-319
ER -
TY - JOUR
TI - Effect of polysilicon gate type on the flatband voltage shift for ultrathin oxide-nitride gate stacks
AU - Wang, ZG
AU - Parker, CG
AU - Hodge, DW
AU - Croswell, RT
AU - Yang, N
AU - Misra, V
AU - Hauser, , JR
T2 - IEEE ELECTRON DEVICE LETTERS
AB - In this work, we demonstrate that the magnitude of flatband voltage (V/sub FB/) shift for ultrathin (<2 nm) silicon dioxide-silicon nitride (ON) gate stacks in MOSFET's depends on the Fermi level position in the gate material. In addition, a fixed positive charge at the oxide-nitride interface was observed.
DA - 2000/4//
PY - 2000/4//
DO - 10.1109/55.830971
VL - 21
IS - 4
SP - 170-172
SN - 0741-3106
KW - Fermi level
KW - flatband voltage
KW - interface
KW - ON
ER -
TY - JOUR
TI - Deposition and characterization of undoped and boron and phosphorus doped (SixGe1-xO2) glass films
AU - Simpson, DL
AU - Croswell, RT
AU - Reisman, A
AU - Williams, CK
AU - Temple, D
T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY
AB - Glass films of undoped and boron and phosphorus doped glass films were prepared by plasma enhanced chemical vapor deposition using germane, silane, phosphine, diborane, and oxygen as precursor gas sources with argon as a carrier gas. Film synthesis was carried out at 200°C using a dual‐coil, inductively coupled plasma system. The presence of silane was not necessary to catalyze the decomposition of germane in the plasma environment as required in a strictly thermal environment. The index of refraction of undoped films changes linearly with composition, and deposition rate was nearly constant across all film compositions. Oxide film composition was determined using energy dispersive X‐ray spectroscopy and Auger energy spectroscopy. For undoped films, solid‐phase composition varied linearly with silane gas‐phase composition. For doped compositions, phosphorus mole fraction in the solid phase was up to a factor of two greater than that present in the gas phase. In contrast to this, the quantity of boron incorporated into the solid phase was a factor of five to six less than present in the gas phase. When both dopants were present in the gas phase, the amount of each incorporated into the solid phase was similar to that in the gas phase. © 2000 The Electrochemical Society. All rights reserved.
DA - 2000/4//
PY - 2000/4//
DO - 10.1149/1.1393394
VL - 147
IS - 4
SP - 1560-1567
SN - 0013-4651
ER -
TY - JOUR
TI - Selective area chemical vapor deposition of Si1-xGex thin film alloys by the alternating cyclic method: Experimental data II. Morphology and composition as a function of deposition parameters
AU - Soman, R
AU - Reisman, A
AU - Temple, D
AU - Alberti, R
AU - Pace, C
T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY
AB - Selective area deposition of thin films, with x as large as 0.63, on oxide masked silicon wafers, was carried out in a hotwall, low pressure chemical vapor deposition system, using an alternating cyclic method described in the companion paper. Part I. In order to remove any previously deposited on the walls of the system, an HCl clean of the system was performed prior to every deposition, thereby enabling good control over the composition of the deposited films. The effect of various processing conditions, such as deposition temperature, input gas phase composition, and deposition time on the resulting film composition, morphology, and crystalline perfection were studied. For a particular film composition, there exists a morphological thickness, , at a particular deposition temperature, at which the films make a transition from smooth to rough morphology. The rough films are in a relaxed state and exhibit three‐dimensional growth. Below , the films exhibit a smooth morphology. With lowering of deposition temperature while keeping all other conditions constant, the films become richer in Ge. Thermodynamic calculations were found to be in agreement with this observation. Energy dispersive X‐ray spectroscopy using an environmemal scanning electron microscope technique was used for composition determination purpose, and transmission electron microscopy was performed to study the crystalline quality of the selectively deposited films. © 2000 The Electrochemical Society. All rights reserved.
DA - 2000/5//
PY - 2000/5//
DO - 10.1149/1.1393446
VL - 147
IS - 5
SP - 1854-1858
SN - 0013-4651
ER -
TY - JOUR
TI - Selective area chemical vapor deposition of Si1-xGex thin film alloys by the alternating cyclic method: Experimental data I. Deposition parameters
AU - Soman, R
AU - Reisman, A
AU - Temple, D
AU - Alberti, R
T2 - JOURNAL OF THE ELECTROCHEMICAL SOCIETY
AB - Alternating cyclic (AC), selective area deposition of thin and thick films, 0.1 to 3.5 μm, via the reaction of , , and using Ar as a carrier gas, was carried out in a hot‐wall, low pressure epitaxial reactor, using oxide masked silicon wafers. The AC process is based on the existence of an embedded disproportionation reaction within the overall deposition chemistry, which provides an effective mechanism for preventing the formation of nuclei in the areas where deposition is not desired. This disproportionation reaction is made dominant cyclically, by pulsing the hydrogen on and off periodically, in order to eliminate incipient nucleation. Experiments were carried out over a large portion of the available parameter space, as determined by extensive thermodynamic analyses, using a reference non‐AC process as a control, and comparing the results with different AC frequencies. The mole fractions used were 0.0012, 0.0025. 0.005, 0.01, 0.02, 0.03, and 0.05, the temperature was varied from 700 to 950°C, and the ratio varied from 1 to 9. The range of alloy composition deposited was from 0 to 30 mol %, Ge. Total gas flow rate was varied from 2 standard liters per min (slpm) to 20 slpm to modulate gas hydrodynamics. To varying degrees, various experimental conditions influenced the tendency for formation of spurious nuclei on the oxide surface. However, under all conditions, the AC technique was capable of preventing the formation of spurious nuclei on the oxide, guaranteeing essentially 100% selectivity control, for both nonimplanted wafers and ion‐implanted wafers. © 2000 The Electrochemical Society. All rights reserved.
DA - 2000/5//
PY - 2000/5//
DO - 10.1149/1.1393445
VL - 147
IS - 5
SP - 1847-1853
SN - 0013-4651
ER -
TY - JOUR
TI - Impact of gate workfunction on device performance at the 50 nm technology node
AU - De, I
AU - Johri, D
AU - Srivastava, A
AU - Osburn, CM
T2 - SOLID-STATE ELECTRONICS
AB - The optimal gate electrode workfunction was determined for the 50 nm technology node using a simulation strategy that takes into account the impact of short-channel effects on device performance in uniformly doped and super-steep-retrograde doped channels in conventional and dynamic threshold operation. Classical device simulations suggest that the optimal workfunction is such that the gate Fermi level is 0.2 eV below (above) the conduction (valence) band edge of silicon for NMOS (PMOS) devices. However, when quantum mechanical effects are taken into account, the optimal workfunction is such that the gate Fermi level coincides with the conduction (valence) band edge. Midgap gates are not viable because the resulting short-channel effects are too severe. In a surrounding-gate transistor the optimal workfunction is attained when the gate Fermi level is 0.35 eV below (above) the conduction (valence) band edge in NMOS (PMOS) device. Midgap gates are not viable because the resulting threshold voltage is too high and cannot be reduced by lowering the substrate doping.
DA - 2000/6//
PY - 2000/6//
DO - 10.1016/S0038-1101(99)00323-8
VL - 44
IS - 6
SP - 1077-1080
SN - 0038-1101
KW - gate workfunction
KW - super steep retrograde
KW - channel engineering
ER -
TY - JOUR
TI - Image segmentation and edge enhancement with stabilized inverse diffusion equations
AU - Pollak, I
AU - Willsky, AS
AU - Krim, H
T2 - IEEE TRANSACTIONS ON IMAGE PROCESSING
AB - We introduce a family of first-order multidimensional ordinary differential equations (ODEs) with discontinuous right-hand sides and demonstrate their applicability in image processing. An equation belonging to this family is an inverse diffusion everywhere except at local extrema, where some stabilization is introduced. For this reason, we call these equations "stabilized inverse diffusion equations" (SIDEs). Existence and uniqueness of solutions, as well as stability, are proven for SIDEs. A SIDE in one spatial dimension may be interpreted as a limiting case of a semi-discretized Perona-Malik equation (1990, 19994). In an experiment, SIDE's are shown to suppress noise while sharpening edges present in the input signal. Their application to image segmentation is also demonstrated.
DA - 2000/2//
PY - 2000/2//
DO - 10.1109/83.821738
VL - 9
IS - 2
SP - 256-266
SN - 1941-0042
KW - diffusion
KW - enhancement
KW - scale space
KW - segmentation
KW - sliding modes
KW - synthetic aperture radar (SAR)
ER -
TY - JOUR
TI - Estimation of the effects of remote charge scattering on electron mobility of n-MOSFET's with ultrathin gate oxides
AU - Yang, N
AU - Henson, WK
AU - Hauser, , JR
AU - Wortman, JJ
T2 - IEEE TRANSACTIONS ON ELECTRON DEVICES
AB - The effects of remote charge scattering on the electron mobility of n-MOSFETs with ultrathin gate oxides from 1.5 nm to 3.2 nm have been estimated. By calculating the scattering rate of the two-dimensional (2-D) electron gas at the Si/silicon dioxide interface due to the ionized doping impurities at the poly-Si/silicon dioxide interface, the remote charge scattering mobility has been calculated. Electron mobility measured from the n-MOSFETs with ultrathin gate oxides has been used to extract several known mobility components. These mobility components have been compared to the calculated remote charge scattering mobility. From these comparisons, it is clear that the overall electron mobility is not severely degraded by remote charge scattering for the oxide thickness studied.
DA - 2000/2//
PY - 2000/2//
DO - 10.1109/16.822292
VL - 47
IS - 2
SP - 440-447
SN - 1557-9646
KW - dielectric films
KW - inversion layers
KW - mobility
KW - MOS devices
KW - oxidation
KW - quantization
KW - scattering
ER -
TY - JOUR
TI - Efficient approach to segment man-made targets from unmanned aerial vehicle imagery
AU - Qi, H. R.
AU - Snyder, W. E.
AU - Marchette, D.
T2 - Optical Engineering (Redondo Beach, Calif.)
DA - 2000///
PY - 2000///
VL - 39
IS - 5
SP - 1267-1274
ER -
TY - JOUR
TI - Design and integration considerations for end-of-the roadmap ultrashallow junctions
AU - Osburn, CM
AU - De, I
AU - Yee, KF
AU - Srivastava, A
T2 - JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
AB - Device simulations and response surface analysis have been used to quantify the trade-offs and issues encountered in designing ultrashallow junctions for the 250–50 nm generations of complimentary metal-oxide-semiconductor ultralarge scale integration technology. The design of contacting and extension junctions is performed to optimize short channel effects, performance, and reliability, while meeting the National Technology Roadmap for Semiconductors off-state leakage specifications. A maxima in saturated drive current is observed for an intermediate extension junction depth (∼20 nm for 100 nm technology): shallower junctions lead to higher series resistance, and deeper junctions result in more severe short channel effects. The gate-to-junction overlap required to preserve drive current was seen to depend on junction abruptness. For a perfectly abrupt junction, it is not necessary for the gate to overlap the junction. Performance depends on many parameters, including: overlap of gate to extension junction, junction capacitance, and parasitic series resistance, which depends on the doping gradient at the junction (spreading resistance), the extension series resistance, and the contact resistance. Extraction of these parameters using I–V or C–V measurements can potentially lead to erroneous conclusions about lateral junction excursion and abruptness.
DA - 2000///
PY - 2000///
DO - 10.1116/1.591195
VL - 18
IS - 1
SP - 338-345
SN - 1071-1023
ER -
TY - JOUR
TI - Multiuser detectors with disjoint Kalman channel estimators for synchronous CDMA mobile radio channels
AU - Wu, PHY
AU - Duel-Hallen, A
T2 - IEEE TRANSACTIONS ON COMMUNICATIONS
AB - We compare performance of several multiuser detectors for differentially encoded data combined with simple, disjoint, decision-directed Kalman channel estimators over flat Rayleigh fading channels. Simpler detectors with noncoherent differential detection are also compared. Different performance trends relative to the case of perfect channel estimation are observed. We find that in the presence of channel mismatch, the linear decorrelator is the most robust detector in terms of the bit-error rate and the near-far resistance. Parameter adjustment for fading channel modeling and estimation in the decision-directed mode are also discussed.
DA - 2000/5//
PY - 2000/5//
DO - 10.1109/26.843185
VL - 48
IS - 5
SP - 752-756
SN - 0090-6778
KW - code-division multiple-access
KW - differential phase-shift keying
KW - fading channels
KW - Kalman filtering
KW - multiuser channels
ER -
TY - JOUR
TI - Large skyrmions in an Al0.13Ga0.87As quantum well
AU - Shukla, S. P.
AU - Shayegan, M.
AU - Parihar, S. R.
AU - Lyon, S. A.
AU - Cooper, N. R.
AU - Kiselev, A. A.
T2 - Physical Review. B, Condensed Matter and Materials Physics
DA - 2000///
PY - 2000///
VL - 61
IS - 7
SP - 4469-4472
ER -
TY - JOUR
TI - Growth and characterization of GaN single crystals
AU - Balkas, CM
AU - Sitar, Z
AU - Bergman, L
AU - Shmagin, IK
AU - Muth, JF
AU - Kolbas, R
AU - Nemanich, RJ
AU - Davis, RF
T2 - JOURNAL OF CRYSTAL GROWTH
AB - Up to 3 mm long GaN single crystals were grown by sublimation of cold pressed GaN pellets or evaporation of gallium (Ga) metal under an ammonia (NH3) flow in a dual heater, high-temperature growth system. A growth rate of 500 μm/h along the [0 0 0 1] direction was achieved using a source temperature of 1200°C, a total pressure of 760 Torr, and an NH3 flow rate of 50 sccm. The resulting crystals were transparent, possessed low aspect ratios and well-defined growth facets. The only impurity present at high concentrations was oxygen (3×1018 atoms/cm3). Photoluminescence studies conducted at 77 K showed a sharp emission peak centered at 359 nm. Time-dependent photoluminescence measurements revealed optical metastability in bulk GaN. Raman spectroscopy yielded narrow peaks representing only the modes allowed for the wurtzite structure. All characterization studies confirmed excellent crystalline and optical quality of the obtained single crystals.
DA - 2000/1//
PY - 2000/1//
DO - 10.1016/S0022-0248(99)00445-5
VL - 208
IS - 1-4
SP - 100-106
SN - 0022-0248
KW - gallium nitride
KW - crystal growth
KW - vapor transport
KW - photoluminescence
KW - optical absorption
ER -
TY - JOUR
TI - Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces
AU - Brillson, L. J.
AU - Young, A. P.
AU - White, B. D.
AU - Schafer, J.
AU - Niimi, H.
AU - Lee, Y. M.
AU - Lucovsky, G.
T2 - Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures
DA - 2000///
PY - 2000///
VL - 18
IS - 3
SP - 1737-1741
ER -
TY - JOUR
TI - 1.6 nm oxide equivalent gate dielectrics using nitride/oxide (N/O) composites prepared by RPECVD/oxidation process
AU - Wu, YD
AU - Lee, YM
AU - Lucovsky, G
T2 - IEEE ELECTRON DEVICE LETTERS
AB - Ultrathin nitride/oxide (/spl sim/1.5/0.7 nm) dual layer gate dielectrics have been formed using remote plasma enhanced CVD of nitride onto plasma-grown oxide interface layers. High accumulation capacitance (1.72 μF/cm 2 ) is measured and the equivalent oxide thickness is 1.6 nm after quantum effect corrections. Compared to 1.6 nm oxides, a tunneling current reduction of more than 100 fold is found for devices with 1.6 nm N/O dielectrics due to increased film thickness and interface nitridation. Hole channel mobility decreases by about 5%, yielding very good P-MOSFET current drive. Excellent dielectric reliability and interface robustness are also demonstrated for P-MOSFET's with N/O dielectrics.
DA - 2000/3//
PY - 2000/3//
DO - 10.1109/55.823574
VL - 21
IS - 3
SP - 116-118
SN - 1558-0563
KW - gate dielectric
KW - MOSFET
KW - nitride
KW - oxide
KW - tunneling current
ER -
TY - JOUR
TI - The generalized scattering matrix of closely spaced strip and slot layers in waveguide
AU - Yakovlev, AB
AU - Khalil, AI
AU - Hicks, CW
AU - Mortazawi, A
AU - Steer, MB
T2 - IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
AB - In this paper, a method-of-moments integral-equation formulation of a generalized scattering matrix (GSM) is presented for the full-wave analysis of interactive planar electric and magnetic discontinuities in waveguide. This was developed to efficiently handle a variety of waveguide-based strip-to-slot transitions, especially on thin substrates. This single matrix formulation replaces the problematic procedure of cascading individual GSM's of an electric (strip) layer, a thin substrate, and a magnetic (slot) layer.
DA - 2000/1//
PY - 2000/1//
DO - 10.1109/22.817481
VL - 48
IS - 1
SP - 126-137
SN - 1557-9670
KW - electromagnetic analysis
KW - generalized scattering matrix
KW - Green's functions
KW - method of moments
KW - patch
KW - slot antennas
KW - waveguide transition
ER -
TY - JOUR
TI - Mode-transformation and mode-continuation regimes on waveguiding structures
AU - Yakovlev, A. B.
AU - Hanson, G. W.
T2 - IEEE Transactions on Microwave Theory and Techniques
DA - 2000///
PY - 2000///
VL - 48
IS - 1
SP - 67-75
ER -
TY - JOUR
TI - Mn-prelayer effects on the epitaxial growth of MnSb on (111)B GaAs by pulsed laser deposition
AU - Liu, SX
AU - Bedair, SM
AU - El-Masry, NA
T2 - MATERIALS LETTERS
AB - Ferromagnetic thin films of MnSb have been grown epitaxially on (111)B GaAs substrate by pulsed laser deposition. The epitaxial growth was achieved by either depositing Mn/Sb multilayers or direct ablation of a Mn50Sb50 alloy target. The epitaxial growth occurs with (0001) of the MnSb film parallel to (111) of GaAs, with [011̄1] of MnSb 4° off [200] of the GaAs substrate, as determined from selected area diffraction (SAD). The easy magnetization in these films lies in the basal plane with coercivities, which vary from 40 to 110 Oe measured by Vibrating Sample Magnetometry (VSM). A Mn prelayer was important for high quality MnSb epitaxial growth with the c-axis perpendicular to the substrate surface. Films with an Sb prelayer or without Mn as a prelayer resulted in polycrystalline growth of MnSb. The mechanism of how the Mn prelayer facilitates the MnSb epitaxial growth is discussed in terms of two models.
DA - 2000/1//
PY - 2000/1//
DO - 10.1016/s0167-577x(99)00170-6
VL - 42
IS - 1-2
SP - 121-129
SN - 0167-577X
KW - MnSb
KW - ferromagnetic thin films
KW - GaAs substrate
KW - Mn-prelayer
KW - pulsed laser deposition
ER -
TY - JOUR
TI - Long-range prediction of fading signals - Enabling adapting transmission for mobile radio channels
AU - Duel-Hallen, A
AU - Hu, SQ
AU - Hallen, H
T2 - IEEE SIGNAL PROCESSING MAGAZINE
AB - It was previously proposed to adapt several transmission methods, including modulation, power control, channel coding, and antenna diversity to rapidly time variant fading channel conditions. Prediction of the channel coefficients several tens-to-hundreds of symbols ahead is essential to realize these methods in practice. We describe a novel adaptive long-range fading channel prediction algorithm (LRP) and its utilization with adaptive transmission methods. The LRP is validated for standard stationary fading models and tested with measured data and with data produced by our novel realistic physical channel model. Both numerical and simulation results show that long-range prediction makes adaptive transmission techniques feasible for mobile radio channels.
DA - 2000/5//
PY - 2000/5//
DO - 10.1109/79.841729
VL - 17
IS - 3
SP - 62-75
SN - 1558-0792
ER -
TY - JOUR
TI - Increasing the effective resolution of thermal infrared images - An algorithm based on mean-field annealing that also removes noise and preserves image
AU - Snyder, WE
AU - Qi, HR
AU - Elliott, RL
AU - Head, JF
AU - Wang, CX
T2 - IEEE ENGINEERING IN MEDICINE AND BIOLOGY MAGAZINE
AB - Thermal infrared (TIR) imaging is recognized as the most efficient technique for the study of skin temperature distribution. In specific diseases, characteristic changes can be measured from target anatomical sites. In this way, objective noninvasive investigations can be of diagnostic value. TIR imaging of the breast for breast cancer risk assessment is an example. Although TIR imaging possesses the advantages of being noninvasive, risk free, and considerably less expensive, it suffers the disadvantage of a lack of resolution due to blur compounded by rather high levels of noise. A maximum a posteriori probability (MAP) image restoration philosophy is proposed to solve this problem of resolution. The objective is three-fold: to increase the resolution of the measured image by using a type of 2:1 zooming; to remove the noise; and simultaneously to preserve the detail of features, including, in particular, the sharpness of edges.
DA - 2000///
PY - 2000///
DO - 10.1109/51.844382
VL - 19
IS - 3
SP - 63-70
SN - 1937-4186
ER -
TY - JOUR
TI - Analysis and design of an inhomogeneous transformer with hard wall waveguide sections
AU - Ozkar, M
AU - Mortazawi, A
T2 - IEEE MICROWAVE AND GUIDED WAVE LETTERS
AB - A new inhomogeneous waveguide transformer with hard walls is presented. Mode matching technique along with an optimization routine is used to design the transformer. The generalized scattering matrix (GSM) of the whole block is calculated which can be used to predict the fields at the output given the incident excitations. An example of a three-section transformer, which replaces a tapered hard horn, is shown. The transformer has better performance in the bandwidth of interest compared to the tapered hard horn having twice the length of the transformer. This type of transformer could be useful for excitation of quasi-optical amplifiers and reflector feeds.
DA - 2000/2//
PY - 2000/2//
DO - 10.1109/75.843099
VL - 10
IS - 2
SP - 55-57
SN - 1051-8207
KW - inhomogeneous transformers
KW - overmoded waveguides
ER -
TY - JOUR
TI - A class-E power amplifier based on an extended resonance technique
AU - Martin, A. L.
AU - Mortazawi, A.
T2 - IEEE Transactions on Microwave Theory and Techniques
DA - 2000///
PY - 2000///
VL - 48
IS - 1
SP - 93-97
ER -
TY - JOUR
TI - Current saturation control in silicon emitter switched thyristors
AU - Sawant, S
AU - Baliga, BJ
T2 - SOLID-STATE ELECTRONICS
AB - Abstract In this paper a novel Dual Channel Emitter Switched Thyristor (DC-EST) structure with diode diverter connected to the P-base region is shown to provide reduced saturation current density without compromising the on-state voltage drop. During the on-state, the diode diverter does not carry any current and the P-base region is effectively floating in potential, which results in a low on-state voltage drop. During current saturation, as the P-base potential rises, the diode diverter diverts the holes collected in the P-base, thus leading to an improved forward biased safe operating area (FBSOA). The saturation current density is lowered significantly which is desirable to achieve a good short circuit safe operating area (SCSOA). The dynamic clamping behavior of the diode diverter allows for independent optimization of the forward drop and saturation current density. Experimental results are reported to confirm the superior characteristics observed through simulations. The novel diode diverter DC-EST structure is found to be particularly suitable for high voltage (4 kV) applications.
DA - 2000/1//
PY - 2000/1//
DO - 10.1016/S0038-1101(99)00217-8
VL - 44
IS - 1
SP - 133-142
SN - 1879-2405
ER -
TY - PAT
TI - Systems and methods for using diffraction patterns to determine radiation intensity values for areas between and along adjacent sensors of compound sensor arrays
AU - Bilbro, G. L.
AU - Snyder, W. E.
AU - Zilic, A.
C2 - 2000///
DA - 2000///
PY - 2000///
ER -
TY - CONF
TI - Structural and optical property investigations on Mg-Alloying in epitaxial zinc oxide films on sapphire
AU - Sharma, A. K.
AU - Jin, C.
AU - Narayan, J.
AU - Teng, C. W.
AU - Muth, J. F.
AU - Kolbas, R. M.
AU - Holland, O. W.
C2 - 2000///
C3 - MRS Internet Journal of Nitride Semiconductor Research
CN - TK7871.15.G33 G37 2000
DA - 2000///
M1 - 2000
ER -
TY - JOUR
TI - Irrigation, agriculture and the Raj: Punjab, 1887-1947
AU - Gilmartin, D
T2 - INDIAN ECONOMIC AND SOCIAL HISTORY REVIEW
DA - 2000///
PY - 2000///
DO - 10.1177/001946460003700407
VL - 37
IS - 4
SP - 486-488
SN - 0019-4646
ER -
TY - JOUR
TI - Differential space-time modulation
AU - Hughes, B. L.
T2 - IEEE Transactions on Information Theory
AB - Space-time coding and modulation exploit the presence of multiple transmit antennas to improve the performance on multipath radio channels. Thus far, most work on space-time coding has assumed that perfect channel estimates are available at the receiver. In certain situations, however, it may be difficult or costly to estimate the channel accurately, in which case it is natural to consider the design of modulation techniques that do not require channel estimates at the transmitter or receiver. We propose a general approach to differential modulation for multiple transmit antennas based on group codes. This approach ran be applied to any number of transmit and receive antennas, and any signal constellation. We also derive low-complexity differential receivers, error bounds, and modulator design criteria, which we use to construct optimal differential modulation schemes for two transmit antennas. These schemes can be demodulated with or without channel estimates. This permits the receiver to exploit channel estimates when they are available. The performance degrades by approximately 3 dB when estimates are not available.
DA - 2000///
PY - 2000///
DO - 10.1109/18.887864
VL - 46
IS - 7
SP - 2567-2578
ER -
TY - JOUR
TI - Special section on motor fault detection and diagnosis
AU - Chow, M.-Y.
T2 - IEEE Transactions on Industrial Electronics
DA - 2000///
PY - 2000///
DO - 10.1109/tie.2000.873205
VL - 47
IS - 5
SP - 982-983
ER -
TY - JOUR
TI - Solid state C-13 nuclear magnetic resonance for polyguanidines
AU - Lim, AR
AU - Kim, JH
AU - Novak, BM
T2 - POLYMER
AB - The structure and variations in dynamic motions of three polyguanidines possessing different side chains were studied by 13C CP/MAS NMR. From these results, the structures of the polyguanidines were confirmed, and the 13C spin–lattice relaxation times in the rotating frame were measured. The polyguanidine backbone mobilities were measured as a function of size and chemical make up (aliphatic vs. aromatic). The main-chain carbon of polyguanidine (II) with aromatic side chains has a higher activation energy, 23.12 kJ/mol, than the polyguanidine (I) with aliphatic side chains, 19.76 kJ/mol. Also, the activation energy of the main-chain carbons of polyguanidine (II) and (III) with aromatic side chains was found to depend on the size of side chains.
DA - 2000/3//
PY - 2000/3//
DO - 10.1016/S0032-3861(99)00431-0
VL - 41
IS - 7
SP - 2431-2438
SN - 0032-3861
KW - structural analysis
KW - NMR spectroscopy
KW - spin-lattice relaxation
ER -
TY - JOUR
TI - Nongeometric field enhancement in semiconducting cold cathodes and in metal-insulator-semiconductor structures
AU - Bilbro, GL
AU - Nemanich, RJ
T2 - APPLIED PHYSICS LETTERS
AB - We extend the usual one-dimensional equilibrium theory of the surface space charge region that screens a semiconductor from an external electric field in order to admit perturbations in three dimensions and time. We identify a class of perturbations of the one-dimensional equilibrium that grow exponentially in time at least until our first-order perturbation theory fails. The resulting spontaneous field enhancement may explain enhancement factors observed in electron emission from semiconducting cathodes and may similarly contribute to gate leakage in metal–oxide–semiconductor field effect transistors.
DA - 2000/2/14/
PY - 2000/2/14/
DO - 10.1063/1.125620
VL - 76
IS - 7
SP - 891-893
SN - 0003-6951
ER -
TY - JOUR
TI - Exciton binding energies in GaN/AlxGa1−xN pseudomorphic quantum wells
AU - Jeon, J.-B
AU - Sanders, G.D
AU - Kim, K.W
AU - Littlejohn, M.A
T2 - Superlattices and Microstructures
AB - Interband transitions of pseudomorphic GaN/AlxGa1 − xN quantum wells are analysed theoretically with respect to the piezoelectric field utilizing a 6 × 6 Rashba–Sheka–Pikus (RSP) Hamiltonian. Band structure modifications due to the built-in Stark effect explain a shift of the emission peak in GaN/Al0.15Ga0.85N of up to 400 meV. Quantum well exciton binding energies are calculated by the variational method and are discussed in terms of spatial separation of electrons and holes by the built-in electric field, as well as the interaction between valence subbands.
DA - 2000/1//
PY - 2000/1//
DO - 10.1006/spmi.1999.0819
VL - 27
IS - 1
SP - 53-58
J2 - Superlattices and Microstructures
LA - en
OP -
SN - 0749-6036
UR - http://dx.doi.org/10.1006/spmi.1999.0819
DB - Crossref
KW - light emitting devices
KW - theory and model
KW - exciton binding energy
ER -
TY - JOUR
TI - Editorial
AU - Kelley, A. W.
T2 - IEEE Transactions on Power Electronics
DA - 2000///
PY - 2000///
VL - 15
IS - 1
SP - 3-4
ER -
TY - JOUR
TI - Applications of SPICE for modeling miniaturized biomedical sensor systems
AU - Mundt, C.W.
AU - Nagle, H.T.
T2 - IEEE Transactions on Biomedical Engineering
AB - This paper proposes a model for a miniaturized signal conditioning system for biopotential and ion-selective electrode arrays. The system consists of three main components: sensors, interconnections, and signal conditioning chip. The model for this system is based on SPICE. Transmission-line based equivalent circuits are used to represent the sensors, lumped resistance-capacitance circuits describe the interconnections, and a model for the signal conditioning chip is extracted from its layout. In conclusion, a system for measurements of biopotentials and ionic activities can be miniaturized and optimized for cardiovascular applications based on the development of an integrated SPICE system model of its electrochemical, interconnection, and electronic components.
DA - 2000///
PY - 2000///
DO - 10.1109/10.821733
VL - 47
IS - 2
SP - 149-154
J2 - IEEE Trans. Biomed. Eng.
OP -
SN - 0018-9294
UR - http://dx.doi.org/10.1109/10.821733
DB - Crossref
KW - biopotential
KW - electrode
KW - equivalent circuit
KW - ion-selective
KW - Kapton
KW - SPICE
KW - system model
KW - transmission line
ER -
TY - JOUR
TI - Accuracy assessment curves for satellite-based change detection
AU - Morisette, J. T.
AU - Khorram, S.
T2 - Photogrammetric Engineering and Remote Sensing
DA - 2000///
PY - 2000///
VL - 66
IS - 7
SP - 875-880
ER -
TY - JOUR
TI - A CPW-fed microstrip patch quasi-optical amplifier array
AU - Ortiz, SC
AU - Ivanov, T
AU - Mortazawi, A
T2 - IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
AB - A quasi-optical power-combining amplifier array based on coplanar waveguide (CPW)-fed microstrip patch antennas is introduced in this paper. Both the transmit and receive antennas employ CPW-fed patches. This amplifier is not only compatible with monolithic-microwave integrated-circuit implementations, but can also provide a greater bandwidth than circuits based on conventional microstrip-fed patch antennas. A 4/spl times/4 amplifier array was designed and constructed at X-band. Results for the gain and power compression are also presented.
DA - 2000/2//
PY - 2000/2//
DO - 10.1109/22.821775
VL - 48
IS - 2
SP - 276-280
SN - 0018-9480
KW - amplifier
KW - CPW
KW - quasi-optical
KW - spatial
ER -