Electrical and Computer Engineering

Works Published in 2005

search works

Displaying works 101 - 120 of 321 in total

Sorted by most recent date added to the index first, which may not be the same as publication date order.

2005 conference paper

3Gb/s AC-coupled chip-to-chip communication using a low-swing pulse receiver

Proceedings of the 2005 International Solid State Circuits Conference. Presented at the 2005 International Solid State Circuits Conference, San Francisco, CA, USA.

By: L. Luo n, J. Wilson n, S. Mick n, J. Xu n, L. Zhang n & P. Franzon n

Event: 2005 International Solid State Circuits Conference at San Francisco, CA, USA on February 10, 2005

TL;DR: A 120-mV/sub ppd/ low swing pulse receiver is presented for AC coupled interconnect (ACCI) and first-time demonstration of a flip-chip ACCI is presented, with both the AC and DC connections successfully integrated between the flipped chip and the multichip module (MCM) substrate by using the buried bump technology. (via Semantic Scholar)
Sources: NC State University Libraries, ORCID
Added: June 9, 2019

2005 conference paper

An integrated self-masking technique for providing low-loss metallized RF MEMS devices in a polysilicon only MEMS process

Proceedings of the SPIE Micro Europe. Presented at the SPIE Micro Europe, Sevilla, Spain.

By: J. Wilson n, R. Bashirullah*, D. Nackashi n, D. Winick n & P. Franzon n

Event: SPIE Micro Europe at Sevilla, Spain

author keywords: RF MEMS; post process; metallization; tunable capacitor; removable mask; SUMMiT
Sources: Web Of Science, ORCID
Added: June 9, 2019

2005 conference paper

2.8 Gbps inductively coupled interconnect for 3D ICs

Proceedings of the 2005 symposium on VLSI circuits, 352–355.

By: J. Xu, J. Wilson, S. Mick & L. Luo

Source: NC State University Libraries
Added: June 9, 2019

2005 conference paper

Impact of SOI research Project on microelectronics education: a case study

Proceedings of the IEEE International Conference on Microelectronics systems education, 33–34.

By: N. Dogan*, P. Franzon n & W. Liu*

Event: 2005 IEEE International Conference on Microelectronic Systems Education (MSE'05) at Anaheim, CA, USA on June 12-13, 2005

TL;DR: The impact of an RF-SoC research project on the career choices of students involved and its broader impact on the microelectronics education in the participating universities are presented. (via Semantic Scholar)
Sources: Web Of Science, ORCID
Added: June 9, 2019

2005 chapter

Parallel computing environments and methods for power distribution system simulation

In 2005 Ieee Power Engineering Society General Meeting, Vols, 1-3 (pp. 215–220). http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000232799400033&KeyUID=WOS:000232799400033

By: N. Lu, Z. Taylor, D. Chassin, R. Guttromson, S. Studham & Ieee

Contributors: N. Lu, Z. Taylor, D. Chassin, R. Guttromson, S. Studham & . Ieee

Source: ORCID
Added: May 6, 2019

2005 chapter

Modeling uncertainties in aggregated thermostatically controlled loads using a state queueing model

In 2005 Ieee Power Engineering Society General Meeting, Vols, 1-3 (p. 1336). http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000232799401061&KeyUID=WOS:000232799401061

By: N. Lu, D. Chassin, S. Widergren & Ieee

Contributors: N. Lu, D. Chassin, S. Widergren & . Ieee

Source: ORCID
Added: May 6, 2019

2005 journal article

Modeling uncertainties in aggregated thermostatically controlled loads using a state queueing model

Ieee Transactions on Power Systems, 20(2), 725–733.

Contributors: N. Lu, D. Chassin* & S. Widergren*

author keywords: demand-side management program; load model; load synthesis; state queueing model; thermostatically controlled appliance; water heater load
Source: ORCID
Added: May 6, 2019

2005 chapter

Control strategies of thermostatically controlled appliances in a competitive electricity market

In 2005 Ieee Power Engineering Society General Meeting, Vols, 1-3 (pp. 202–207). http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000232799400031&KeyUID=WOS:000232799400031

By: N. Lu, S. Katipamula & Ieee

Contributors: N. Lu, S. Katipamula & . Ieee

Source: ORCID
Added: May 6, 2019

2005 journal article

Configurable String Matching Hardware for Speeding Up Intrusion Detection

SIGARCH Comput. Archit. News, 33(1), 99–107.

By: M. Aldwairi n, T. Conte n & P. Franzon n

TL;DR: A configurable string matching accelerator is developed with the focus on increasing throughput while maintaining the configurability provided by the software IDSs. (via Semantic Scholar)
Source: ORCID
Added: April 23, 2019

2005 conference paper

Molecular electronic latches and memories

IEEE Nano, 819–822.

By: D. Nackashi n, C. Amsinck n, N. DiSPigna n & P. Franzon n

Sources: NC State University Libraries, ORCID
Added: April 21, 2019

2005 conference paper

Molecular electronics – devices and circuits technology

Proceedings IFIP VLSI-SoC 2005, 57–63.

By: P. Franzon, D. Nackashi, N. DiSpigna & S. Sonkusale

Source: NC State University Libraries
Added: April 21, 2019

2005 conference paper

Integrated ultrasound imaging systems based on capacitive micromachined ultrasonic transducer arrays

Proceedings of the IEEE Conference on Sensors, 704–707.

By: I. Wygant, D. Yeh, X. Zhuang, S. Vaithilingam, A. Nikoozadeh, Ö. Oralkan, A. Ergun, G. Yaralioglu, B. Khuri-Yakub

Source: NC State University Libraries
Added: April 21, 2019

2005 conference paper

2.8 Gb/s Inductively Coupled Interconnect for 3-D ICs

Japan VLSI Symposium.

By: J. Xu, J. Wilson, S. Mick, L. Luo & P. Franzon

Source: NC State University Libraries
Added: April 17, 2019

2005 conference paper

The feasibility of on-chip interconnection using antennas

O, K. K., Kim, K., & Floyd, B. (2005, November). 979–984.

By: K. O, K. Kim & B. Floyd

Event: IEEE/ACM International Conference on Computer-Aided Design

Source: NC State University Libraries
Added: April 11, 2019

2005 conference paper

A miniature real-time volumetric ultrasound imaging system

In W. F. Walker & S. Y. Emelianov (Eds.), Medical Imaging 2005: Ultrasonic Imaging and Signal Processing.

By: I. Wygant*, D. Yeh*, X. Zhuang*, A. Nikoozadeh*, O. Oralkan*, A. Ergun*, M. Karaman*, B. Khuri-Yakub*

Ed(s): W. Walker & S. Emelianov

Event: Medical Imaging

author keywords: capacitive micromachined ultrasonic transducer; CMUT; two-dimensional array; electronics; ultrasonic imaging; integration; synthetic aperture; flip-chip bonding; through-wafer interconnects; systems
TL;DR: Progress made in the development of a miniature real-time volumetric ultrasound imaging system is presented, targeted for use in a 5-mm endoscopic channel and to demonstrate the advantages of the capacitive micromachined ultrasonic transducer (CMUT) technology for medical imaging. (via Semantic Scholar)
Sources: Crossref, ORCID
Added: April 5, 2019

2005 conference paper

Underwater acoustic imaging using capacitive micromachined ultrasonic transducer arrays

Oceans '02 MTS/IEEE. Presented at the Oceans 2002 Conference and Exhibition.

Event: Oceans 2002 Conference and Exhibition.

Sources: Crossref, ORCID
Added: March 30, 2019

2005 conference paper

CMUT ring arrays for forward-looking intravascular imaging

IEEE Ultrasonics Symposium, 2004. Presented at the IEEE Ultrasonics Symposium, 2004.

By: O. Oralkan*, S. Hansen*, B. Bayram*, G. Yaralioglu*, A. Ergun* & B. Khuri-Yakub*

Event: IEEE Ultrasonics Symposium, 2004

TL;DR: An annular CMUT ring array designed and fabricated for the tip of a catheter used for forward-looking intravascular imaging is described, and a nonlinear dynamic transient finite element analysis for the transducer is performed, finding these results to be in good agreement with experimental measurements. (via Semantic Scholar)
Sources: Crossref, ORCID
Added: March 30, 2019

2005 conference paper

High-frequency CMUT arrays for high-resolution medical imaging

IEEE Ultrasonics Symposium, 2004. Presented at the IEEE Ultrasonics Symposium, 2004.

By: O. Oralkan*, S. Hansen*, B. Bayram*, G. Yaralglu, A. Ergun* & B. Khuri-Yakub*

Event: IEEE Ultrasonics Symposium, 2004

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, ORCID
Added: March 30, 2019

2005 conference paper

Integrated ultrasonic imaging systems based on CMUT arrays: recent progress

IEEE Ultrasonics Symposium, 2004. Presented at the IEEE Ultrasonics Symposium, 2004.

By: I. Wygant*, X. Zhuang*, D. Yeh*, A. Nikoozadeh*, O. Oralkan*, A. Ergun*, M. Karaman*, B. Khuri-Yakub*

Event: IEEE Ultrasonics Symposium, 2004

TL;DR: The paper describes the development of an ultrasonic imaging system based on a two-dimensional capacitive micromachined ultrasonic transducer array, and the progress made on implementing each of its components: a 16/spl times/16 CMUT array; custom-designed integrated circuits; a flip-chip bonding technique; signal-processing hardware. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, ORCID
Added: March 30, 2019

2005 conference paper

Dynamic FEM analysis of multiple cmut cells in immersion

IEEE Ultrasonics Symposium, 2004. Presented at the IEEE Ultrasonics Symposium, 2004.

By: B. Bayram*, G. Yaralioglu*, A. Ergun*, O. Oralkan* & B. Khuri-Yakub*

Event: IEEE Ultrasonics Symposium, 2004

Sources: Crossref, ORCID
Added: March 30, 2019

Citation Index includes data from a number of different sources. If you have questions about the sources of data in the Citation Index or need a set of data which is free to re-distribute, please contact us.

Certain data included herein are derived from the Web of Science© and InCites© (2024) of Clarivate Analytics. All rights reserved. You may not copy or re-distribute this material in whole or in part without the prior written consent of Clarivate Analytics.