Brandon Harley Dwiel Priyadarshi, S., Choudhary, N., Dwiel, B., Upreti, A., Rotenberg, E., Davis, R., & Franzon, P. (2013). Hetero(2) 3d integration: A scheme for optimizing efficiency/cost of chip multiprocessors. Proceedings of the fourteenth international symposium on quality electronic design (ISQED 2013), 1–7. https://doi.org/10.1109/isqed.2013.6523582 Choudhary, N. K., Wadhavkar, S. V., Shah, T. A., Mayukh, H., Gandhi, J., Dwiel, B. H., … Rotenberg, E. (2012). FABSCALAR: AUTOMATING SUPERSCALAR CORE DESIGN. IEEE MICRO, 32(3), 48–59. https://doi.org/10.1109/mm.2012.23 Choudhary, N. K., Wadhavkar, S. V., Shah, T. A., Mayukh, H., Gandhi, J., Dwiel, B. H., … Rotenberg, E. (2011). FabScalar: Composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template. ISCA 2011: Proceedings of the 38th Annual International Symposium on Computer Architecture, 11–22. https://doi.org/10.1145/2000064.2000067