@article{king_davis_carter_schneider_nemanich_2015, title={Hydrogen desorption kinetics for aqueous hydrogen fluoride and remote hydrogen plasma processed silicon (001) surfaces}, volume={33}, ISSN={["1520-8559"]}, DOI={10.1116/1.4926733}, abstractNote={The desorption kinetics of molecular hydrogen (H2) from silicon (001) surfaces exposed to aqueous hydrogen fluoride and remote hydrogen plasmas were examined using temperature programmed desorption. Multiple H2 desorption states were observed and attributed to surface monohydride (SiH), di/trihydride (SiH2/3), and hydroxide (SiOH) species, subsurface hydrogen trapped at defects, and hydrogen evolved during the desorption of surface oxides. The observed surface hydride species were dependent on the surface temperature during hydrogen plasma exposure with mono, di, and trihydride species being observed after low temperature exposure (150 °C), while predominantly monohydride species were observed after higher temperature exposure (450 °C). The ratio of surface versus subsurface H2 desorption was also found to be dependent on the substrate temperature with 150 °C remote hydrogen plasma exposure generally leading to more H2 evolved from subsurface states and 450 °C exposure leading to more H2 desorption from surface SiHx species. Additional surface desorption states were observed, which were attributed to H2 desorption from Si (111) facets formed as a result of surface etching by the remote hydrogen plasma or aqueous hydrogen fluoride treatment. The kinetics of surface H2 desorption were found to be in excellent agreement with prior investigations of silicon surfaces exposed to thermally generated atomic hydrogen.}, number={5}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={King, Sean W. and Davis, Robert F. and Carter, Richard J. and Schneider, Thomas P. and Nemanich, Robert J.}, year={2015}, month={Sep} } @article{carter_hauser_nemanich_2000, title={Surface residue island nucleation in anhydrous HF/alcohol vapor processing of Si surfaces}, volume={147}, ISSN={["0013-4651"]}, DOI={10.1149/1.1393929}, abstractNote={Anhydrous HF/methanol vapor-phase chemistries were employed to etch SiO 2 /Si surfaces at low pressure (5-50 Torr) and ambient temperature. The oxides on Si were formed from the following: (1) RCA chemical cleaning and (ii) UV-ozone treatment. Atomic force microscopy (AFM) and lateral force microscopy (LFM) were used to analyze the HF vapor-cleaned Si surfaces. AFM/LFM displayed residue islands distributed randomly upon the Si surface as a result of vapor-phase cleaning. As a result of etching RCA chemical oxides, the average lateral dimension of the residue islands is 40 nm and the average height of the islands is 6 nm. As a result of etching UV-ozone oxides, the average lateral dimension of the residue islands is 30 nm, and the average height of the islands is 3.5 nm. A decrease in residue island density is observed after the removal of a UV-ozone oxide compared to RCA chemical oxide removal. Secondary ion mass spectroscopy was used to characterize chemical impurities (O, C, F, and N) in the SiO 2 films and and the Si surface after HF vapor-phase cleaning. The constituents of the residue islands have been attributed to nitrogen impurities and silicon atoms imbedded in the passivating oxides. Results indicate that condensation of methanol vapor onto the bare Si surface, after oxide removal, is necessary for residue island formation. We suggest a model in which residue island nucleation occurs from nonvolatile N-Si complexes that form hydrogen bonds with methanol molecules and diffuse into the adsorbed alcohol layer. The molecular impurities then interact and form residue islands.}, number={9}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Carter, RJ and Hauser, JR and Nemanich, RJ}, year={2000}, month={Sep}, pages={3512–3518} }