@article{johnson_hong_hinkle_lucovsky_2002, title={Electron trapping in non-crystalline Ta- and Hf-aluminates for gate dielectric applications in aggressively scaled silicon devices}, volume={46}, ISSN={["1879-2405"]}, DOI={10.1016/S0038-1101(02)00152-1}, abstractNote={Abstract The physical and electrical properties of non-crystalline Ta- and Hf-alumiunates, (Ta 2 O 5 ) x (Al 2 O 3 ) 1− x and (HfO 2 ) x (Al 2 O 3 ) 1− x , respectively, were studied. As-deposited films were homogeneous and pseudo-binary in character with increased thermal stability with respect to the respective end-member oxides. Capacitance–voltage and current density–voltage data as a function of temperature demonstrate that the Ta and Hf d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta 2 O 5 and HfO 2 with respect to Si. This work correlates the studies of Ta- and Hf-aluminates to develop a qualitative conduction band energy level scheme for the two alloys where the interfacial electrical properties are dominated by electron traps of the respective transition metal atoms, and/or or network defects associated with the alloy.}, number={11}, journal={SOLID-STATE ELECTRONICS}, author={Johnson, RS and Hong, JG and Hinkle, C and Lucovsky, G}, year={2002}, month={Nov}, pages={1799–1805} } @article{johnson_hong_hinkle_lucovsky_2002, title={Electron trapping in noncrystalline remote plasma deposited Hf- aluminate alloys for gate dielectric applications}, volume={20}, number={3}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Johnson, R. S. and Hong, J. G. and Hinkle, C. and Lucovsky, G.}, year={2002}, pages={1126–1131} } @article{johnson_lucovsky_hong_2002, title={Fixed charge and interface traps at heterovalent interfaces between Si(100) and non-crystalline Al2O3-Ta2O5 alloys}, volume={190}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(01)00889-3}, abstractNote={Characterization by Auger electron spectroscopy (AES) and Fourier transformation infrared spectroscopy (FTIR) confirms (Ta2O5)x(Al2O3)1−x alloys are homogeneous pseudo-binary alloys with increased thermal stability with respect to end member oxides, Ta2O5 and Al2O3. Capacitance–voltage (C–V) and current density–voltage (J–V) data as a function of temperate show that the Ta d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta2O5 with respect to Si.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Johnson, RS and Lucovsky, G and Hong, JG}, year={2002}, month={May}, pages={43–47} } @article{ulrich_johnson_hong_rowe_lucovsky_quinton_madey_2002, title={Interface electronic structure of Ta2O5-Al2O3 alloys for Si- field-effect transistor gate dielectric applications}, volume={20}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Ulrich, M. D. and Johnson, R. S. and Hong, J. G. and Rowe, J. E. and Lucovsky, G. and Quinton, J. S. and Madey, T. E.}, year={2002}, pages={1732–1738} } @article{choi_fleetwood_schrimpf_massengill_galloway_shaneyfelt_meisenheimer_dodd_schwank_lee_et al._2002, title={Long-term reliability degradation of ultrathin dielectric films due to heavy-ion irradiation}, volume={49}, ISSN={["1558-1578"]}, DOI={10.1109/TNS.2002.805389}, abstractNote={High-energy ion-irradiated 3.3-nm oxynitride film and 2.2-nm SiO/sub 2/-film MOS capacitors show premature breakdown during subsequent electrical stress. This degradation in breakdown increases with increasing ion linear energy transfer (LET), increasing ion fluence, and decreasing oxide thickness. The reliability degradation due to high-energy ion-induced latent defects is explained by a simple percolation model of conduction through SiO/sub 2/ layers with irradiation and/or electrical stress-induced defects. Monitoring the gate-leakage current reveals the presence of latent defects in the dielectric films. These results may be significant to future single-event effects and single-event gate rupture tests for MOS devices and ICs with ultrathin gate oxides.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Choi, BK and Fleetwood, DM and Schrimpf, RD and Massengill, LW and Galloway, KF and Shaneyfelt, MR and Meisenheimer, TL and Dodd, PE and Schwank, JR and Lee, YM and et al.}, year={2002}, month={Dec}, pages={3045–3050} } @article{choi_fleetwood_massengill_schrimpf_galloway_shaneyfelt_meisenheimer_dodd_schwank_lee_et al._2002, title={Reliability degradation of ultra-thin oxynitride and Al2O3 gate dielectric films owing to heavy-ion irradiation}, volume={38}, DOI={10.1049/el:20020119}, abstractNote={The charge-to-breakdown of 3.3 nm oxynitride films shows significant degradation after irradiation with 342 MeV An ions. In contrast, 5.4 rim Al/sub 2/O/sub 3/ films exhibit much less degradation for similar heavy-ion stress.}, number={4}, journal={Electronics Letters}, author={Choi, B. K. and Fleetwood, D. M. and Massengill, L. W. and Schrimpf, R. D. and Galloway, K. F. and Shaneyfelt, M. R. and Meisenheimer, T. L. and Dodd, P. E. and Schwank, J. R. and Lee, Y. M. and et al.}, year={2002}, pages={157–158} } @article{wang_powell_johnson_lucovsky_aspnes_2002, title={Simplified bond-hyperpolarizability model of second harmonic generation: Application to Si-dielectric interfaces}, volume={20}, ISSN={["2166-2746"]}, DOI={10.1116/1.1493783}, abstractNote={We show that the anisotropies of second-harmonic-generation (SHG) intensities of singular and vicinal (111) and (001)Si–dielectric interfaces can be described accurately as dipole radiation originating from the anharmonic motion of bond charges parallel to the bond directions. This simplified bond-hyperpolarizability model not only provides a simpler and mathematically more efficient representation of SHG, but also allows a direct physical interpretation at the bond level, which was lacking in previous approaches. Application to oxidized and nitrided Si–SiO2 interfaces provides new insight into bonding that occurs at these interfaces as well as the origin of SHG.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Wang, JFT and Powell, GD and Johnson, RS and Lucovsky, G and Aspnes, DE}, year={2002}, pages={1699–1705} } @article{lazar_misra_johnson_lucovsky_2001, title={Characteristics of metalorganic remote plasma chemical vapor deposited Al2O3 gate stacks on SiC metal-oxide-semiconductor devices}, volume={79}, ISSN={["1077-3118"]}, DOI={10.1063/1.1392973}, abstractNote={Metalorganic remote plasma chemical vapor deposited SiO2/Al2O3 stacks were deposited on 6H p-type silicon SiC to fabricate a high-k gate stack SiC metal–oxide–semiconductor capacitors. Capacitance–voltage (C–V) and current–voltage (I–V) measurements were performed. C–V characteristics showed excellent properties at room and higher temperatures. Samples exhibited a slight negative flatband shift from which the net oxide charge (Qox) was calculated. Low leakage currents were observed even at high temperatures. I–V characteristics of Al2O3 were superior to those observed on AlN and SiO2 dielectrics on SiC.}, number={7}, journal={APPLIED PHYSICS LETTERS}, author={Lazar, HR and Misra, V and Johnson, RS and Lucovsky, G}, year={2001}, month={Aug}, pages={973–975} } @article{lucovsky_rayner_johnson_2001, title={Chemical and physical limits on the performance of metal silicate high-k gate dielectrics}, volume={41}, ISSN={["0026-2714"]}, DOI={10.1016/S0026-2714(01)00046-4}, abstractNote={This research identifies four significant limitations on the performance of high-k alternative gate dielectrics that derive from inherent relationships between (i) chemical bonding and physical properties, and (ii) device operation. These include interfacial band offset energies, thermal stability against chemical phase separation, coordination dependent dielectric constants, and interfacial fixed charge. Then these are applied to transition metal silicate alloys, e.g., (ZrO2)x(SiO2)1−x. The paper also includes results for other high-k oxides, Al2O3 and Ta2O5, and their alloys that relate to the issues addressed in this paper, and in particular help to put the results on the silicate alloys into a better perspective. This portion of the paper provides additional perspective with regard to the differences in the chemical and physical limitations of elemental oxides and binary oxide alloys.}, number={7}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G and Rayner, GB and Johnson, RS}, year={2001}, month={Jul}, pages={937–945} } @article{johnson_hong_lucovsky_2001, title={Electron traps at interfaces between Si(100) and noncrystalline Al2O3, Ta2O5, and (Ta2O5)(x)(Al2O3)(1-x) alloys}, volume={19}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Johnson, R. S. and Hong, J. G. and Lucovsky, G.}, year={2001}, pages={1606–1610} } @article{lucovsky_rayner_kang_appel_johnson_zhang_sayers_ade_whitten_2001, title={Electronic structure of noncrystalline transition metal silicate and aluminate alloys}, volume={79}, ISSN={["0003-6951"]}, DOI={10.1063/1.1404997}, abstractNote={A localized molecular orbital description (LMO) for the electronic states of transition metal (TM) noncrystalline silicate and aluminate alloys establishes that the lowest conduction band states are derived from d states of TM atoms. The relative energies of these states are in agreement with the LMO approach, and have been measured by x-ray absorption spectroscopy for ZrO2–SiO2 alloys, and deduced from an interpretation of capacitance–voltage and current–voltage data for capacitors with Al2O3–Ta2O5 alloy dielectrics. The LMO model yields a scaling relationship for band offset energies providing a guideline for selection of gate dielectrics for advanced Si devices.}, number={12}, journal={APPLIED PHYSICS LETTERS}, author={Lucovsky, G and Rayner, GB and Kang, D and Appel, G and Johnson, RS and Zhang, Y and Sayers, DE and Ade, H and Whitten, JL}, year={2001}, month={Sep}, pages={1775–1777} } @article{johnson_lucovsky_hong_2001, title={Fixed charge and interface traps at heterovalent interfaces between Si(100) and non-crystalline Al2O3-Ta2O5 alloys}, volume={59}, ISSN={["1873-5568"]}, DOI={10.1016/S0167-9317(01)00673-6}, abstractNote={Characterization by Auger electron spectroscopy, AES, and Fourier transformation infrared spectroscopy, FTIR, confirm that (Ta2O5)x(Al2O3)1−x alloys are homogeneous with pseudo-binary in character, and display increased thermal stability. Capacitance–voltage, C–V, and current density–voltage, J–V, data as a function of temperate show that the Ta d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta2O5 with respect to Si.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Johnson, RS and Lucovsky, G and Hong, JG}, year={2001}, month={Nov}, pages={385–391} } @article{johnson_lucovsky_baumvol_2001, title={Physical and electrical properties of noncrystalline Al2O3 prepared by remote plasma enhanced chemical vapor deposition}, volume={19}, ISSN={["1520-8559"]}, DOI={10.1116/1.1379316}, abstractNote={Noncrystalline Al2O3 dielectric films have been synthesized by remote plasma enhanced chemical vapor deposition (RPECVD) and deposited on (i) H-terminated Si(100) and (ii) on SiO2 prepared by remote plasma assisted oxidation and RPECVD on Si(100) substrates using organometallic source gases injected downstream from a He/O2 plasma. Chemical composition and morphology of the Al2O3 films and their interfaces have been studied by Auger electron spectroscopy (AES), Fourier transform infrared spectroscopy, nuclear resonance profiling (NRP), and x-ray diffraction (XRD). Previous studies in which Al2O3 was deposited by thermal CVD, rapid thermal CVD, (RTCVD), direct PECVD, and physical vapor deposition generally resulted in relatively thick SiO2 or Al-silicate interfacial layers which impact adversely on the highest attainable capacitance. In line AES and NRP indicate the as-deposited RPECVD films are fully oxidized on deposition, and their interfaces can be chemically abrupt with Si oxide or Al silicate interfacial layers that are no more than 0.6 to 0.8 nm thick. However, these relatively abrupt interfaces do not ensure good device performance. Electrical measurements indicate negative fixed charge on the order of 1012 charges/cm2. The fixed charge resides at the Al2O3 interface, and can be moved away from the silicon substrate by deposition of a thin, ∼1–2 nm, intermediate layer of RPECVD SiO2.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Johnson, RS and Lucovsky, G and Baumvol, I}, year={2001}, pages={1353–1360} } @article{johnson_niimi_lucovsky_2000, title={New approach for the fabrication of device-quality Ge/GeO2/SiO2 interfaces using low temperature remote plasma processing}, volume={18}, ISSN={["0734-2101"]}, DOI={10.1116/1.582331}, abstractNote={It has been shown that low temperature (300 °C) remote plasma enhanced processing can separately and independently control interface formation and bulk oxide deposition on silicon substrates. Plasma processing is followed by a low thermal budget thermal anneal, e.g., 30 s at 900 °C. In this article, this process has been modified and applied to germanium substrates to determine if it can provide a successful pathway to device-quality Ge–dielectric interfaces. The new process also employs a three-step process: (i) an O2/He plasma-assisted, predeposition oxidation of the germanium surface to form a superficial germanium–oxide passivating film, (ii) deposition of a SiO2 bulk film by remote plasma-enhanced chemical vapor deposition from SiH4 and O2, and (iii) a postdeposition anneal for chemical and structural relaxation. The resulting interfaces are improved by the predeposition, plasma-assisted oxidation step, but are still far too defective for device applications.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Johnson, RS and Niimi, H and Lucovsky, G}, year={2000}, pages={1230–1233} }