@article{stuart_gray_nevola_su_sachet_ulrich_dougherty_2016, title={Magnetoelectric oxide films for spin manipulation in graphene}, volume={10}, ISSN={["1862-6270"]}, DOI={10.1002/pssr.201510433}, abstractNote={The challenge of creating a graphene spin field effect transistor (spin‐FET) demands a magnetic gate dielectric material whose magnetization can be switched electrically. We have grown films of Cr2O3 on top of graphite and graphene by pulsed laser deposition that shows this crucial functionality. We demonstrate that the Cr2O3 films are magnetoelectric by poling them in combined electric and magnetic fields and then using magnetic force microscopy to observe spontaneous surface domain structure as a function of poling field. In addition, we show that the electric field created by a conducting AFM tip can be used to write magnetic patterns in the film that demonstrate the kind of continuous magnetoelectric control needed for a prototype spin‐FET. (© 2016 WILEY‐VCH Verlag GmbH &Co. KGaA, Weinheim)}, number={3}, journal={PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS}, author={Stuart, S. C. and Gray, B. and Nevola, D. and Su, L. and Sachet, E. and Ulrich, M. and Dougherty, D. B.}, year={2016}, month={Mar}, pages={242–247} } @article{stuart_satchet_sandin_maria_rowe_dougherty_ulrich_2013, title={Smooth MgO films grown on graphite and graphene by pulsed laser deposition}, volume={31}, ISSN={["2166-2746"]}, DOI={10.1116/1.4818511}, abstractNote={Pulsed laser deposition was used to grow thin (1–100 nm) magnesium oxide films directly on graphite and epitaxial graphene on SiC(0001). The authors observe very smooth (typical rms roughness of ∼0.4 nm) film morphologies that are nearly independent of film thickness and conformal to the substrate for films grown on room temperature substrates. Surface roughness is less than 1 nm for thicknesses up to 100 nm and is independent of oxygen background pressure during growth. X-ray diffraction shows no evidence of crystallinity for films grown on room temperature substrates but shows ⟨100⟩ texture for films grown on heated substrates that also have very rough surface morphologies. X-ray photoelectron spectroscopy shows hydroxylation of films due to air exposure that can only be partially removed by annealing, indicating the presence of atomic defects in the films.}, number={5}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Stuart, Sean C. and Satchet, Edward and Sandin, Andreas and Maria, Jon-Paul and Rowe, John E. and Dougherty, Daniel B. and Ulrich, Marc}, year={2013}, month={Sep} } @article{vasic_sadowski_choi_zhou_wiebe_cheong_rowe_ulrich_2010, title={Surface reconstruction of hexagonal Y-doped HoMnO3 and LuMnO3 studied using low-energy electron diffraction}, volume={81}, number={16}, journal={Physical Review. B, Condensed Matter and Materials Physics}, author={Vasic, R. and Sadowski, J. T. and Choi, Y. J. and Zhou, H. D. and Wiebe, C. R. and Cheong, S. W. and Rowe, J. E. and Ulrich, M. D.}, year={2010} } @inproceedings{lucovsky_long_chung_seo_watts_vasic_ulrich_2009, title={Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high-K dielectrics}, volume={27}, number={1}, booktitle={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Long, J. P. and Chung, K. B. and Seo, H. and Watts, B. and Vasic, R. and Ulrich, M. D.}, year={2009}, pages={294–299} } @article{lee_seo_lucovsky_fleming_ulrich_luening_2008, title={Bulk defects in nano-crystalline and in non-crystalline HfO2-based thin film dielectrics}, volume={517}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2008.08.098}, abstractNote={Defect states in the form of band edge electron and hole traps in HfO2 nano-crystalline films are qualitatively different in two different length scale regimes. For grain sizes > 3–4 nm, they are discrete band edge states associated with O-atom vacancies pinned and clustered at grain boundaries, whereas in as-deposited films, and films with a physical thickness of ~ 2 nm, they are band-tail defects with a density reduced by more than an order of magnitude. Defect states in non-crystalline high Si3N4 content Hf Si oxynitride alloys are qualitatively different than those in the either regime of nano-crystallinity, but instead are similar to those in SiO2, with densities < 1011 cm− 2 contrasted with defects densities in excess of 1011 cm− 2 in films with nano-grains ~ 2 nm, and extending to > 1012 cm− 2 in films with nano-grains > 3–4 nm.}, number={1}, journal={THIN SOLID FILMS}, author={Lee, S. and Seo, H. and Lucovsky, G. and Fleming, L. B. and Ulrich, M. D. and Luening, J.}, year={2008}, month={Nov}, pages={437–440} } @article{lucovsky_seo_lee_fleming_ulrich_luning_2007, title={Defect reduction by suppression of pi-bonding coupling in nano- and non-crystalline high-(medium)-kappa gate dielectrics}, volume={84}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2007.04.062}, abstractNote={This paper identifies two-different regimes of nanocrystallinity: i) thin films with nanocrystallites >3 nm, and ii) thin films with nanocrystallites ⩽2 nm. Near edge X-ray absorption spectroscopy, and soft-X-ray photoelectron spectroscopy, combined with visible and ultra-violet spectroscopic ellipsometry, provide an unambiguous way to distinguish between these two technologically important regimes of nanocrystalline order, yielding significant information on band edge electronic structure, and electronically-active defects.}, number={9-10}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G. and Seo, H. and Lee, S. and Fleming, L. B. and Ulrich, M. D. and Luning, J.}, year={2007}, pages={2350–2353} } @article{lucovsky_seo_lee_fleming_ulrich_luning_lysaght_bersuker_2007, title={Intrinsic electronically active defects in transition metal elemental oxides}, volume={46}, ISSN={["0021-4922"]}, DOI={10.1143/JJAP.46.1899}, abstractNote={Densities of interfacial and bulk defects in high-κ dielectrics are typically about two orders of magnitude larger than those in Si–SiO2 devices. An asymmetry in electron and hole trapping kinetics, first detected in test capacitor devices with nanocrystalline ZrO2 and HfO2 dielectrics, is a significant potential limitation for Si device operation and reliability in complementary metal oxide semiconductor applications. There are two crucial issues: i) are the electron and hole traps intrinsic defects, or are they associated with processed-introduced impurities?, and ii) what are the local atomic bonding arrangements and electronic state energies of these traps? In this study, thin film nanocrystalline high-κ gate dielectrics, TiO2, ZrO2, and HfO2 (group IVB TM oxides), are investigated spectroscopically to identify the intrinsic electronic structures of valence and conduction band states, as well as those of intrinsic bonding defects. A quantitative/qualitative distinction is made between crystal field and Jahn–Teller (J–T) d-state energy differences in nanocrystralline TM elemental oxides, and noncrystalline TM silicates and Si oxynitrides. It is experimentally shown and theoretically supported that a length scale for nanocrystallite size <2–3 nm i) eliminates J–T d-state term splittings in band edge π-bonded d-states, and ii) represents a transition from the observation of discrete band edge defects to band-tail defects. Additionally, π-state bonding coherence can also be disrupted with similar effects on band edge and defect states in HfO2 films which have been annealed in NH3 at 700 °C, and display Hf–N bonds in N atom K1 edge X-ray absorption spectra.}, number={4B}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS}, author={Lucovsky, Gerald and Seo, Hyungtak and Lee, Sanghyun and Fleming, Leslie B. and Ulrich, Marc D. and Luning, Jan and Lysaght, Pat and Bersuker, Gennadi}, year={2007}, month={Apr}, pages={1899–1909} } @article{seo_lucovsky_fleming_ulrich_luning_koster_geballe_2007, title={Length scales for coherent pi-bonding interactions in complex high-k oxide dielectrics and their interfaces}, volume={84}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2007.04.069}, abstractNote={This paper uses X-ray absorption and vacuum ultra-violet spectroscopic ellipsometry to distinguish between non-crystallinity, and the suppression of Jahn-Teller splittings that identify a scale of order metric, λs, of ∼3 nm for distinguishing between i) nanocrystalline-order that can be detected by x-ray diffraction for λs > 3-4 nm, and ii) reduced nanocrystalline order that can be detected by atomic-scale imaging and extended X-ray absorption spectroscopy for λs < ∼2.5 nm. This approach is first applied to elemental transition metal oxides, and then to complex oxides and complex oxide alloys.}, number={9-10}, journal={MICROELECTRONIC ENGINEERING}, author={Seo, H. and Lucovsky, G. and Fleming, L. B. and Ulrich, M. D. and Luning, J. and Koster, G. and Geballe, T. H.}, year={2007}, pages={2298–2301} } @article{lucovsky_luening_fleming_ulrich_rowe_seo_lee_lysaght_bersuker_2007, title={Spectroscopic studies of O-vacancy defects in transition metal oxides}, volume={18}, ISSN={["1573-482X"]}, DOI={10.1007/s10854-007-9192-x}, journal={JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS}, author={Lucovsky, G. and Luening, J. and Fleming, L. B. and Ulrich, M. D. and Rowe, J. E. and Seo, H. and Lee, S. and Lysaght, P. and Bersuker, G.}, year={2007}, month={Oct}, pages={S263–S266} } @article{lucovsky_seo_fleming_ulrich_luning_lysaght_bersuker_2006, title={Intrinsic bonding defects in transition metal elemental oxides}, volume={46}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2006.07.032}, abstractNote={Gate dielectrics comprised of nanocrystalline HfO2 in gate stacks with thin SiO2/SiON interfacial transition regions display significant asymmetries with respect to trapping of Si substrate injected holes and electrons. Based on spectroscopic studies, and guided by ab initio theory, electron and hole traps in HfO2 and other transition metal elemental oxides are assigned to O-atom divacancies, clustered at internal grain boundaries. Three engineering solutions for defect reduction are identified: i) deposition of ultra-thin, <2 nm, HfO2 dielectric layers, in which grain boundary formation is suppressed by effectively eliminating inter-primitive unit cell π-bonding interactions, ii) chemically phase separated high HfO2 silicates in which inter-primitive unit cell p-bonding interactions are suppressed by the two nanocrystalline grain size limitations resulting from SiO2 inclusions, and iii) non-crystalline Zr/Hf Si oxynitrides without grain boundary defects.}, number={9-11}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G. and Seo, H. and Fleming, L. B. and Ulrich, M. D. and Luning, J. and Lysaght, P. and Bersuker, G.}, year={2006}, pages={1623–1628} } @article{chan_wertheim_wang_ulrich_rowe_madey_2005, title={Surface atom core-level shifts of clean and oxygen-covered Re(1231)}, volume={72}, number={3}, journal={Physical Review. B, Condensed Matter and Materials Physics}, author={Chan, A. S. Y. and Wertheim, G. K. and Wang, H. and Ulrich, M. D. and Rowe, J. E. and Madey, T. E.}, year={2005} } @article{ellis_park_hulbert_ulrich_rowe_2004, title={Influence of substrate temperature on epitaxial copper phthalocyanines studied by photoemission spectroscopy}, volume={95}, ISSN={["1089-7550"]}, DOI={10.1063/1.1637137}, abstractNote={We report the formation of heteroepitaxial copper phthalocyanine (CuPc) overlayers on a “5×20” reconstructed Au(001) substrate, with thicknesses ranging from 0.8 to 26.9 Å. The adsorbed CuPc at room temperature forms a quasiepitaxial overlayer, incommensurate with the substrate lattice, aligned with the 〈110〉 and 〈11̄0〉 axes of the Au(001) surface. At elevated substrate temperatures (ET) between 200 and 250 °C, the deposition of CuPc results in a 13.8 Å square overlayer structure commensurate with the substrate lattice. The electronic structures of CuPc overlayers grown at both RT and ET are also investigated. While the films grown at both temperatures generally exhibit lowering of the vacuum level, consistent with the presence of an interfacial dipole, it is the ET-grown CuPc overlayer that exhibits a sharp decrease of the surface vacuum level upon completion of a monolayer. The experimental CuPc valence band energies are compared with the calculated ionization potentials of a CuPc molecule. Using the tunability of the light source and the dependence of the photoionization cross sections on photon energy for various atomic shells, the CuPc molecular orbitals are assigned with either Cu d states or Pc-derived valence orbitals.}, number={3}, journal={JOURNAL OF APPLIED PHYSICS}, author={Ellis, TS and Park, KT and Hulbert, SL and Ulrich, MD and Rowe, JE}, year={2004}, month={Feb}, pages={982–988} } @article{fleming_ulrich_efimenko_genzer_chan_madey_oh_zhou_rowe_2004, title={Near-edge absorption fine structure and UV photoemission spectroscopy studies of aligned single-walled carbon nanotubes on Si(100) substrates}, volume={22}, ISSN={["2166-2746"]}, DOI={10.1116/1.1775190}, abstractNote={We report near-edge absorption fine structure (NEXAFS) and UV photoemission spectroscopy (UPS) studies of aligned single-walled carbon nanotube films on Si(100) substrates. Orientation of the films was detected in the NEXAFS spectra, with the intensity of the π* core exciton at 284.4 eV showing a strong dependence on nanotube alignment with respect to the polarization of the incident radiation. At lower angles of incidence, the intensity of the π* peak was higher for all orientations, which we attribute to the greater accessibility of the π* orbitals. UPS spectra of the films showed little angular dependence and included features consistent with the total density of states of graphite. As a result of the nanotube curvature and the distribution of nanotube chiralities, the UPS spectra are similar to angle-integrated graphite spectra.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Fleming, L and Ulrich, MD and Efimenko, K and Genzer, J and Chan, ASY and Madey, TE and Oh, SJ and Zhou, O and Rowe, JE}, year={2004}, pages={2000–2004} } @article{ulrich_rowe_niu_parsons_2003, title={Bonding and structure of ultrathin yttrium oxide films for Si field effect transistor gate dielectric applications}, volume={21}, ISSN={["1071-1023"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000185080000113&KeyUID=WOS:000185080000113}, DOI={10.1116/1.1593647}, abstractNote={Soft x-ray photoelectron spectroscopy using synchrotron radiation has been employed to study the interface between Y2O3 films and Si(100). Y2O3 films of ∼8, ∼15, and 65 Å were formed by plasma assisted chemical vapor deposition on HF-last Si(100). With this deposition technique, SiO2 forms at the interface and a kinetically limited silicate layer forms between the resulting SiO2 deposited Y2O3. For 65 Å films, the Y 3d5/2 binding energy was between 158.8 and 159.0 eV, 2.2–2.4 eV higher than the reported value of 156.6 eV for Y2O3. For 8 and 15 Å films, the Y 3d5/2 binding energies were 159.6 and 158.9 eV, respectively. The relatively high binding energies are attributed to hydroxide incorporation in the film. For the ultrathin films, ∼10 Å of SiO2 was formed at the interface during or after the deposition. For the 8 Å film, no silicate is detectable whereas for the 15 Å film, an estimated 4 Å of silicate is present between the interfacial SiO2 and Y2O3 overlayer. Because this transition layer does not form in the 8 Å film, it is concluded that the mixing is kinetically limited. For the 8 Å film, the Si 2p3/2 [SiO2] binding energy was 3.65 eV relative to the substrate peak. For the 15 Å deposition, the Si 2p3/2 [SiO2] binding energy was 3.44 eV and the Si 2p3/2 [silicate] binding energy was 2.65 eV relative to the substrate peak.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Ulrich, MD and Rowe, JE and Niu, D and Parsons, GN}, year={2003}, pages={1792–1797} } @article{ulrich_hong_rowe_lucovsky_chan_madey_2003, title={Soft x-ray photoelectron spectroscopy of (HfO2)(x)(SiO2)(1-x) high-k gate-dielectric structures}, volume={21}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Ulrich, M. D. and Hong, J. G. and Rowe, J. E. and Lucovsky, G. and Chan, A. S. Y. and Madey, T. E.}, year={2003}, pages={1777–1782} } @article{ulrich_barnes_vining_2002, title={Effect of contact resistance in solid-state thermionic refrigeration}, volume={92}, ISSN={["1089-7550"]}, DOI={10.1063/1.1481777}, abstractNote={An analytical model of thermionic emission cooling that includes contact resistance is presented. The electrical current density necessary for peak operation of thermionic emission coolers is such that even the slightest resistance in the contacts to the devices will significantly reduce the cooling and coefficient of the performance. The effect of contact resistance is analyzed numerically using a model of thermionic emission cooling based on Fermi–Dirac statistics. The cooling and coefficient of performance are shown to be reduced dramatically by even the slightest contact resistance.}, number={1}, journal={JOURNAL OF APPLIED PHYSICS}, author={Ulrich, MD and Barnes, PA and Vining, CB}, year={2002}, month={Jul}, pages={245–247} } @article{ulrich_johnson_hong_rowe_lucovsky_quinton_madey_2002, title={Interface electronic structure of Ta2O5-Al2O3 alloys for Si- field-effect transistor gate dielectric applications}, volume={20}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Ulrich, M. D. and Johnson, R. S. and Hong, J. G. and Rowe, J. E. and Lucovsky, G. and Quinton, J. S. and Madey, T. E.}, year={2002}, pages={1732–1738} }