@article{strzhemechny_bataiev_tumakha_goss_hinkle_fulton_lucovsky_brillson_2008, title={Low energy electron-excited nanoscale luminescence spectroscopy studies of intrinsic defects in HfO2 and SiO2-HfO2-SiO2-Si stacks}, volume={26}, number={1}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Strzhemechny, Y. M. and Bataiev, M. and Tumakha, S. P. and Goss, S. H. and Hinkle, C. L. and Fulton, C. C. and Lucovsky, G. and Brillson, L. J.}, year={2008}, pages={232–243} } @article{fleming_fulton_lucovsky_rowe_ulrich_luening_2007, title={Local bonding analysis of the valence and conduction band features of TiO2}, volume={102}, ISSN={["1089-7550"]}, DOI={10.1063/1.2764004}, abstractNote={An analysis of the valence and conduction band electronic structure of TiO2 as studied by ultraviolet photoemission spectroscopy (UPS) and x-ray absorption spectroscopy (XAS) using synchrotron radiation is reported. Valence band spectra from UPS have been deconvolved using a five-peak model. The spectra are interpreted based on the peak assignments to the XAS data and the symmetries of the valence band states. The interpretation is consistent with theoretical calculations of molecular orbitals found in the literature. The removal of the d-state degeneracies that arise from a collective Jahn–Teller splitting of the crystal field split t2g and eg states is observed and scales with the conduction band results from the absorption data. These Jahn–Teller derived energy separations are present in the O K1 and Ti L3 spectra but are not resolved in the photoemission valence band spectra. Two defect states are clearly observed ∼0.7 and 2.0 eV above the valence band edge and are attributed to the presence of oxygen atom vacancies that are described in terms of Ti3+ states.}, number={3}, journal={JOURNAL OF APPLIED PHYSICS}, author={Fleming, I. and Fulton, C. C. and Lucovsky, G. and Rowe, J. E. and Ulrich, M. D. and Luening, J.}, year={2007}, month={Aug} } @article{zeman_fulton_lucovsky_nemanich_yang_2006, title={"Thermal stability of TiO2, ZrO2, or HfO2 on Si(100) by photoelectron emission microscopy" (vol 99, pg 023519, 2006)}, volume={99}, ISSN={["0021-8979"]}, DOI={10.1063/1.2201707}, abstractNote={First Page}, number={10}, journal={JOURNAL OF APPLIED PHYSICS}, author={Zeman, MC and Fulton, CC and Lucovsky, G and Nemanich, RJ and Yang, WC}, year={2006}, month={May} } @article{fulton_lucovsky_nemanich_2006, title={Electronic properties of the Zr-ZrO2-SiO2-Si(100) gate stack structure}, volume={99}, ISSN={["1089-7550"]}, DOI={10.1063/1.2181282}, abstractNote={The interface electronic structure of a layered Zr–ZrO2–SiO2–Si(100) system was studied with x-ray (hν=1254eV) and ultraviolet (hν=21.2eV) photoemission spectroscopies. In situ growth and characterization allow the structures to be deposited and studied in a stepwise manner without the risk of contamination. This study discusses the electronic properties including electron affinities and work functions, valence band maxima, band bending in the Si, and internal fields in a layered high-κ gate stack. With this information the band alignments can be reconstructed and compared to predictions of the vacuum alignment models (i.e., the Schottky-Mott model for metal-semiconductor interfaces or the electron affinity model for heterojunctions) and the interface induced gap states model. The vacuum alignment models are first order approaches to determine the electronic barrier height for a heterojunction, and interface bonding can contribute to charge transfer across the interface, affecting the dipole contribution and altering the barrier heights. In this study, the band offsets and vacuum levels are independently measured, thereby determining the deviation from the vacuum level alignment models. The valence band offsets at the Si–SiO2, SiO2–ZrO2, and ZrO2–Zr are found to be 4.4±0.1, 0.67±0.24, and 4.9±0.44eV, respectively. For these same interfaces the deviations from the electron affinity or Schottky-Mott model are determined to be 0.2±0.14, −1.43±0.29, and 1.3±0.39eV, respectively.}, number={6}, journal={JOURNAL OF APPLIED PHYSICS}, author={Fulton, CC and Lucovsky, G and Nemanich, RJ}, year={2006}, month={Mar} } @article{lucovsky_hinkle_fulton_stoute_seo_luning_2006, title={Intrinsic nanocrystalline grain-boundary and oxygen atom vacancy defects in ZrO2 and HfO2}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2005.07.062}, abstractNote={Defects ∼0.5–0.8 eV below the conduction band edge, contributing to trap-assisted tunneling and Frenkel–Poole transport have been reported for injection from n-type Si into SiO2–HfO2 dielectrics. Band edge spectroscopic measurements, combined with X-ray absorption spectroscopy, have identified localized defect states at this energy below the conduction band edges of HfO2, and ZrO2. Capacitance–voltage and cathodoluminescence studies, combined with band edge spectroscopy have identified an interfacial trap associated with oxygen atom vacancies as well.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Lucovsky, G. and Hinkle, C. L. and Fulton, C. C. and Stoute, N. A. and Seo, H. and Luning, J.}, year={2006}, month={Nov}, pages={2097–2101} } @article{lucovsky_fulton_ju_stoute_tao_aspnes_luening_2006, title={Suppression of Jahn-Teller term-split band edge states in the x-ray absorption spectra of non-crystalline Zr silicates and Si oxynitride alloys, and alloys of ZrO2 with Y2O3}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2006.05.004}, abstractNote={Jahn–Teller (J–T) term-split states in nanocrystalline transition metal and trivalent rare earth elemental and complex oxides reduce the band gap, and tunnelling barrier height at interfaces with crystalline Si substrates. These states are identified by x-ray absorption spectroscopy and spectroscopic ellipsometry. Alloys for suppression of J–T d-state degeneracy removal are identified as: (i) non-crystalline Zr/Hf silicates and Si oxynitrides and (ii) ZrO2–Y2O3 alloys with high concentrations of randomly distributed O-vacancies that promote cubic crystalline symmetry.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Lucovsky, G. and Fulton, C. C. and Ju, B. S. and Stoute, N. A. and Tao, S. and Aspnes, D. E. and Luening, J.}, year={2006}, month={Nov}, pages={1591–1595} } @article{zeman_fulton_lucovsky_nemanich_yang_2006, title={Thermal stability of TiO2, ZrO2, or HfO2 on Si(100) by photoelectron emission microscopy}, volume={99}, ISSN={["1089-7550"]}, DOI={10.1063/1.2163984}, abstractNote={The thermal stability of thin films (3nm) of transition-metal (TM) oxides (TiO2, ZrO2, and HfO2) grown on ultrathin (∼0.5nm) SiO2 buffer layers on Si(100) surfaces was investigated with ultraviolet photoelectron emission microscopy (UV-PEEM). The decomposition of the TM oxides was observed in the PEEM during ultrahigh-vacuum annealing at temperatures of ∼870, ∼900, and ∼1000°C for the TiO2, ZrO2, and HfO2, respectively. Following the decomposition reaction, atomic force microscopy measurements of the annealed surfaces revealed a high density of islands in the decomposed regions. The degradation of the TM oxide films is attributed to a reaction occurring at defects at the TM oxide/SiO2∕Si interfaces, which forms SiO species. Once a portion of the interfacial SiO2 layer is desorbed as a result of this reaction, Si from the substrate can diffuse into contact with the TM oxide layer, resulting in the formation of a TM silicide and the evolution of SiO. This process continues until the entire TM oxide layer is consumed and only silicide islands remain.}, number={2}, journal={JOURNAL OF APPLIED PHYSICS}, author={Zeman, MC and Fulton, CC and Lucovsky, G and Nemanich, RJ and Yang, WC}, year={2006}, month={Jan} } @article{lucovsky_hong_fulton_stoute_zou_nemanich_aspnes_ade_schlom_2005, title={Conduction band states of transition metal (TM) high-k gate dielectrics as determined from X-ray absorption spectra}, volume={45}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2004.11.038}, abstractNote={This paper uses X-ray absorption spectroscopy to study the electronic structure of the high-k gate dielectrics including TM and RE oxides. The results are applicable to TM and rare earth (RE) silicate and aluminate alloys, as well as complex oxides comprised of mixed TM/TM and TM/RE oxides. These studies identify the nature of the lowest conduction band d∗ states, which define the optical band gap, Eg, and the conduction band offset energy with respect to crystalline Si, EB. Eg and EB scale with the atomic properties of the TM and RE atoms providing important insights for identification high-k dielectrics that meet performance targets for advanced CMOS devices.}, number={5-6}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G and Hong, JG and Fulton, CC and Stoute, NA and Zou, Y and Nemanich, RJ and Aspnes, DE and Ade, H and Schlom, DG}, year={2005}, pages={827–830} } @article{lucovsky_fulton_zhang_luning_edge_whitten_nemanich_schlom_afanase'v_2005, title={Conduction band-edge d-states in high-k dielectrics due to Jahn-Teller term splittings}, volume={486}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2004.11.233}, abstractNote={X-ray absorption spectroscopy (XAS) is used to study conduction band edge electronic structure of high-k transition metal (TM) and trivalent lanthanide series rare earth (RE) oxide dielectrics. Empty TM/RE d-states are studied by intra-atomic transitions originating in core level spin-orbit split p-states, and conduction band states are studied in inter-atomic transitions which originate in the oxygen atom 1s core level state. In non-crystalline Zr and Hf silicate alloys, the local bonding symmetry, or crystal field splits these d-states into doubly and triply degenerate features. In nano-crystalline oxides, there are additional d-state splittings due to contributions of more distant neighbors that completely remove d-state degeneracies via the Jahn–Teller effect mechanism. This gives rise to highly localized band edge states that are electronically active in photoconductivity, internal photoemission, and act as bulk traps in metal oxide semiconductor (MOS) devices.}, number={1-2}, journal={THIN SOLID FILMS}, author={Lucovsky, G and Fulton, CC and Zhang, Y and Luning, J and Edge, L and Whitten, JL and Nemanich, RJ and Schlom, DG and Afanase'v, VV}, year={2005}, month={Aug}, pages={129–135} } @article{lucovsky_fulton_zhang_zou_luning_edge_whitten_nemanich_ade_schlom_et al._2005, title={Conduction band-edge states associated with the removal of d-state degeneracies by the Jahn-Teller effect}, volume={5}, ISSN={["1558-2574"]}, DOI={10.1109/TDMR.2005.845804}, abstractNote={X-ray absorption spectroscopy (XAS) is used to study band edge electronic structure of high-/spl kappa/ transition metal (TM) and trivalent lanthanide rare earth (RE) oxide gate dielectrics. The lowest conduction band d/sup */-states in TiO/sub 2/, ZrO/sub 2/ and HfO/sub 2/ are correlated with: 1) features in the O K/sub 1/ edge, and 2) transitions from occupied Ti 2p, Zr 3p and Hf 4p states to empty Ti 3d-, Zr 4d-, and Hf 5d-states, respectively. The relative energies of d-state features indicate that the respective optical bandgaps, E/sub opt/ (or equivalently, E/sub g/), and conduction band offset energy with respect to Si, E/sub B/, scale monotonically with the d-state energies of the TM/RE atoms. The multiplicity of d-state features in the Ti L/sub 2,3/ spectrum of TiO/sub 2/, and in the derivative of the O K/sub 1/ spectra for ZrO/sub 2/ and HfO/sub 2/ indicate a removal of d-state degeneracies that results from a static Jahn-Teller effect in these nanocrystalline thin film oxides. Similar removals of d-state degeneracies are demonstrated for complex TM/RE oxides including Zr and Hf titanates, and La, Gd and Dy scandates. Analysis of XAS and band edge spectra indicate an additional band edge state that is assigned Jahn-Teller distortions at internal grain boundaries. These band edges defect states are electronically active in photoconductivity (PC), internal photoemission (IPE), and act as bulk traps in metal oxide semiconductor (MOS) devices, contributing to asymmetries in tunneling and Frenkel-Poole transport that have important consequences for performance and reliability in advanced Si devices.}, number={1}, journal={IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY}, author={Lucovsky, G and Fulton, CC and Zhang, Y and Zou, Y and Luning, J and Edge, LF and Whitten, JL and Nemanich, RJ and Ade, H and Schlom, DG and et al.}, year={2005}, month={Mar}, pages={65–83} } @article{lucovsky_zhang_fulton_zou_nemanich_ade_whitten_2005, title={Final state effects in VUV and soft X-ray absorption spectra of transition metal oxides and silicate alloys: comparisons between experiment and ab initio calculations}, volume={144}, ISSN={["1873-2526"]}, DOI={10.1016/j.elspec.2005.01.251}, abstractNote={This paper uses X-ray absorption spectroscopy and vacuum ultra-violet spectroscopic ellipsometry to study the electronic structure of high-k transition metal (TM) oxide gate dielectrics. The results are applicable to TM and rare earth (RE) silicate and aluminate alloys, as well as complex oxides comprised of mixed TM/TM and TM/RE oxides. These studies identify the nature of the lowest conduction band d* states, which define the optical band gap, including their relationship to the band gap, Eg, of the oxide.}, journal={JOURNAL OF ELECTRON SPECTROSCOPY AND RELATED PHENOMENA}, author={Lucovsky, G and Zhang, Y and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H and Whitten, JL}, year={2005}, month={Jun}, pages={917–919} } @article{coppa_fulton_kiesel_davis_pandarinath_burnette_nemanich_smith_2005, title={Structural, microstructural, and electrical properties of gold films and Schottky contacts on remote plasma-cleaned, n-type ZnO{0001} surfaces}, volume={97}, ISSN={["1089-7550"]}, DOI={10.1063/1.1898436}, abstractNote={Current–voltage measurements of Au contacts deposited on ex situ cleaned, n-type ZnO(0001) [(0001¯)] surfaces showed reverse bias leakage current densities of ∼0.01(∼0.1)A∕cm2 at 4.6 (3.75) V reverse bias and ideality factors >2 (both surfaces) before sharp, permanent breakdown (soft breakdown). This behavior was due primarily to the presence of (1.6–2.0)±0.1[(0.7–2.6)±0.1] monolayers (ML) of hydroxide, which forms an electron accumulation layer and increases the surface conductivity. In situ remote plasma cleaning of the (0001) [(0001¯)] surfaces using a 20vol%O2∕80vol%He mixture for the optimized temperatures, times, and pressure of 550±20°C(525±20°C), 60 (30) min, and 0.050 Torr reduced the thickness of the hydroxide layer to ∼0.4±0.1ML and completely eliminated all detectable hydrocarbon contamination. Subsequent cooling of both surfaces in the plasma ambient resulted in the chemisorption of oxygen and a change from 0.2 eV of downward band bending for samples cooled in vacuum to 0.3 eV of upward band bending indicative of the formation of a depletion layer of lower surface conductivity. Cooling in either ambient produced stoichiometric ZnO{0001} surfaces having an ordered crystallography as well as a step-and-terrace microstructure on the (0001¯) surface; the (0001) surface was without distinctive features. Sequentially deposited, unpatterned Au films, and presumably the rectifying gold contacts, initially grew on both surfaces cooled in the plasma ambient via the formation of islands that subsequently coalesced, as indicated by calculations from x-ray photoelectron spectroscopy data and confirmed by transmission electron microscopy. Calculations from the current–voltage data of the best contacts revealed barrier heights on the (0001) [(0001¯)] surfaces of 0.71±0.05(0.60±0.05)eV, a saturation current density of (4±0.5)×10−6A∕cm2(2.0±0.5×10−4A∕cm2), a lower value of n=1.17±0.05(1.03±0.05), a significantly lower leakage current density of ∼1.0×10−4A∕cm2(∼91×10−9A∕cm2) at 8.5 (7.0) V reverse bias prior to sharp, permanent breakdown (soft breakdown). All measured barrier heights were lower than the predicted Schottky–Mott value of 1.0 eV, indicating that the interface structure and the associated interface states affect the Schottky barrier. However, the constancy in the full width at half maximum of the core levels for Zn 2p(1.9±0.1eV) and O 1s(1.5±0.1eV), before and after sequential in situ Au depositions, indicated an abrupt, unreacted Au∕ZnO(0001) interface. Transmission electron microscopy confirmed the abruptness of an epitaxial interface. Annealing the contacts on the (0001) surface to 80±5 and 150±5°C resulted in decreases in the ideality factors to 1.12±0.05 and 1.09±0.05 and increases in saturation current density to 9.05 and 4.34μA∕cm2, the barrier height to 0.82±0.5 and 0.79±0.5eV, and in the leakage current densities to ∼2×10−3A∕cm2 at 6 V and ∼20×10−3A∕cm2 at 7 V, respectively.}, number={10}, journal={JOURNAL OF APPLIED PHYSICS}, author={Coppa, BJ and Fulton, CC and Kiesel, SM and Davis, RF and Pandarinath, C and Burnette, JE and Nemanich, RJ and Smith, DJ}, year={2005}, month={May} } @article{fulton_lucovsky_zhang_zou_nemanich_ade_whitten_2005, title={Studies of the coupling of final d*-states in mixed Hf and Ti oxides (HfO2)(x)(TiOx)(1-x) and other complex oxides}, volume={144}, ISSN={["1873-2526"]}, DOI={10.1016/j.elspec.2005.01.098}, abstractNote={Abstract X-ray absorption spectroscopy and vacuum ultra-violet spectroscopic ellipsometry are used to study the electronic structure of complex oxides comprised of mixed TM/TM and TM/RE oxides. Experimental spectra for HfTiO 4 and Gd(Dy)ScO 3 indicate multiple d-state features in the O K 1 edge. These are compared with the empirical models for atomic d-state mixing. It is concluded that a mean field, virtual alloy model does not apply, and that the effects associated with the differences in atomic coordination and deviations from ideal octahedral or cubic bonding play a determinant role in d-state atom mixing. The results are applied band edge engineering options for high- k dielectric applications.}, journal={JOURNAL OF ELECTRON SPECTROSCOPY AND RELATED PHENOMENA}, author={Fulton, CC and Lucovsky, G and Zhang, Y and Zou, Y and Nemanich, RJ and Ade, H and Whitten, JL}, year={2005}, month={Jun}, pages={913–916} } @article{hinkle_fulton_nemanich_lucovsky_2004, title={A novel approach for determining the effective tunneling mass of electrons in HfO2 and other high-K alternative gate dielectrics for advanced CMOS devices}, volume={72}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2003.12.047}, abstractNote={There has been a search for alternative dielectrics with significantly increased dielectric constants, K, which increases physical thickness in proportion to K, and therefore would significantly reduce direct tunneling. However, increases in K to values of 15–25 in transition metal and rare earth oxides are generally accompanied by decreases in the conduction band offset energy with respect to Si, EB, and the effective electron tunneling mass, meff, which mitigate gains from increased thickness. A novel technique, based on stacked dielectrics, is used to obtain the tunneling mass-conduction band offset energy product. When combined with optical measurements of tunneling barriers, this yields direct estimates of the tunneling mass.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Hinkle, CL and Fulton, C and Nemanich, RJ and Lucovsky, G}, year={2004}, month={Apr}, pages={257–262} } @article{hinkle_fulton_nemanich_lucovsky_2004, title={Enhanced tunneling in stacked gate dielectrics with ultra-thin HfO2 (ZrO2) layers sandwiched between thicker SiO2 layers}, volume={566}, ISSN={["1879-2758"]}, DOI={10.1016/j.susc.2004.06.084}, abstractNote={There has been a search for alternative dielectrics with significantly increased dielectric constants, K, which increases in physical thickness proportional to K, and therefore would significantly reduce direct tunneling. However, increases in k to values of 15–25 in transition metal and rare earth oxides are generally accompanied by decreases in the conduction band offset energy with respect to Si, EB, and the effective electron tunneling mass, meff, which mitigate gains from increased thickness. A novel technique, based on stacked dielectrics, is used to obtain the tunneling mass-conduction band offset energy product. When combined with optical measurements of tunneling barriers, this yields direct estimates of the tunneling mass.}, journal={SURFACE SCIENCE}, author={Hinkle, CL and Fulton, C and Nemanich, RJ and Lucovsky, G}, year={2004}, month={Sep}, pages={1185–1189} } @article{hinkle_fulton_nemanich_lucovsky_2004, title={Enhanced tunneling in stacked gate dielectrics with ultra-thin HfO2 layers sandwiched between thicker SiO2 layers}, volume={234}, DOI={10.1016/j.apsusc.2004-05.076}, number={37990}, journal={Applied Surface Science}, author={Hinkle, C. L. and Fulton, C. and Nemanich, R. J. and Lucovsky, G.}, year={2004}, pages={240–245} } @article{coppa_fulton_hartlieb_davis_rodriguez_shields_nemanich_2004, title={In situ cleaning and characterization of oxygen- and zinc-terminated, n-type, ZnO{0001} surfaces}, volume={95}, ISSN={["1089-7550"]}, DOI={10.1063/1.1695596}, abstractNote={A layer containing an average of 1.0 monolayer (ML) of adventitious carbon and averages of 1.5 ML and 1.9 ML of hydroxide was determined to be present on the respective O-terminated (0001̄) and Zn-terminated (0001) surfaces of ZnO. A diffuse low-energy electron diffraction pattern was obtained from both surfaces. In situ cleaning procedures were developed and their efficacy evaluated in terms of the concentrations of residual hydrocarbons and hydroxide and the crystallography, microstructure, and electronic structure of these surfaces. Annealing ZnO(0001̄) in pure oxygen at 600–650 °C±20 °C reduced but did not eliminate all of the detectable hydrocarbon contamination. Annealing for 15 min in pure O2 at 700 °C and 0.100±0.001 Torr caused desorption of both the hydrocarbons and the hydroxide constituents to concentrations below the detection limits (∼0.03 ML=∼0.3 at. %) of our x-ray photoelectron spectroscopy instrument. However, thermal decomposition degraded the surface microstructure. Exposure of the ZnO(0001̄) surface to a remote plasma having an optimized 20% O2/80% He mixture for the optimized time, temperature, and pressure of 30 min, 525 °C, and 0.050 Torr, respectively, resulted in the desorption of all detectable hydrocarbon species. Approximately 0.4 ML of hydroxide remained. The plasma-cleaned surface possessed an ordered crystallography and a step-and-terrace microstructure and was stoichiometric with nearly flat electronic bands. A 0.5 eV change in band bending was attributed to the significant reduction in the thickness of an accumulation layer associated with the hydroxide. The hydroxide was more tightly bound to the ZnO(0001) surface; this effect increased the optimal temperature and time of the plasma cleaning process for this surface to 550 °C and 60 min, respectively, at 0.050 Torr. Similar changes were achieved in the structural, chemical, and electronic properties of this surface; however, the microstructure only increased slightly in roughness and was without distinctive features.}, number={10}, journal={JOURNAL OF APPLIED PHYSICS}, author={Coppa, BJ and Fulton, CC and Hartlieb, PJ and Davis, RF and Rodriguez, BJ and Shields, BJ and Nemanich, RJ}, year={2004}, month={May}, pages={5856–5864} } @article{fulton_cook_lucovsky_nemanich_2004, title={Interface instabilities and electronic properties of ZrO2 on silicon (100)}, volume={96}, ISSN={["1089-7550"]}, DOI={10.1063/1.1776313}, abstractNote={The interface stability of Zr-based high-k dielectrics with an oxide buffer layer was explored with x-ray (hυ=1254eV) and ultraviolet (hυ=21.2eV) photoemission spectroscopy. Zirconium oxide films were grown and characterized in situ in a stepwise sequence to explore their chemical stability and electronic properties as a function of film thickness and processing conditions. The buffer layers serve to lower the interface state density and to address the high temperature instabilities of ZrO2 in direct contact with Si. This research addresses three issues: (1) the development of the band offsets and electronic structure during the low temperature (T<300°C) growth processes, (2) variations in the band structure as effected by process conditions and annealing (T<700°C), and (3) the interface stability of Zr oxide films at high temperatures (T>700°C). Annealing the as-grown films to 600°C results in an ∼2eV shift of the ZrO2-Si band alignment, giving a band offset that is, favorable to devices, in agreement with predictions and in agreement with other experiments. We propose that the as-grown films contain excess oxygen resulting in a charge transfer from the Si substrate to the internal (ZrO2-SiO2) interface and that annealing to 600°C is sufficient to drive off this oxygen. Further annealing to 900°C, in the presence of excess Si at the surface, results in decomposition of the oxide to form ZrSi2.}, number={5}, journal={JOURNAL OF APPLIED PHYSICS}, author={Fulton, CC and Cook, TE and Lucovsky, G and Nemanich, RJ}, year={2004}, month={Sep}, pages={2665–2673} } @article{fulton_lucovsky_nemanich_2004, title={Process-dependent band structure changes of transition-metal (Ti,Zr,Hf) oxides on Si (100)}, volume={84}, ISSN={["1077-3118"]}, DOI={10.1063/1.1639944}, abstractNote={In this study, we have deposited Ti, Zr, and Hf oxides on ultrathin (∼0.5 nm) SiO2 buffer layers and have identified metastable states which give rise to large changes in their band alignments with respect to the Si substrate. This results in a potential across the interfacial SiO2 layer, significant band bending, and large shifts of the high-k valence band. The magnitude of the shift differs for the three materials and is dependant on both the SiO2 buffer layer thickness and annealing temperature. We propose a model where excess oxygen accumulates near the high-k-SiO2 interface providing electronic states, which are available to electrons that tunnel from the substrate.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={Fulton, CC and Lucovsky, G and Nemanich, RJ}, year={2004}, month={Jan}, pages={580–582} } @article{lucovsky_hong_fulton_zou_nemanich_ade_scholm_freeouf_2004, title={Spectroscopic studies of metal high-k dielectrics: transition metal oxides and silicates, and complex rare earth/transition metal oxides}, volume={241}, ISSN={["1521-3951"]}, DOI={10.1002/pssb.200404938}, abstractNote={Abstract}, number={10}, journal={PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS}, author={Lucovsky, G and Hong, JG and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H and Scholm, DG and Freeouf, JL}, year={2004}, month={Aug}, pages={2221–2235} } @article{lucovsky_hong_fulton_zou_nemanich_ade_2004, title={X-ray absorption spectra for transition metal high-kappa dielectrics: Final state differences for intra- and inter-atomic transitions}, volume={22}, ISSN={["2166-2746"]}, DOI={10.1116/1.1771670}, abstractNote={This article applies x-ray absorption spectroscopy to a study of the electronic structure of the high-k gate dielectrics, TiO2, ZrO2, and HfO2. Qualitative and quantitative differences are identified between intra-atomic transitions such as the Zr 3p-state, M2,3 core state absorptions which terminate in TM 4d*- and 5s*-states, and inter-atomic transitions such as the Zr 1s- and O 1s-state K1 absorptions which terminate in Zr 4d*- and 5s*-states that are mixed with O atom 2p* states through nearest neighbor bonding interactions. Differences between the spectral peak energies of the lowest d*-features in the O K1 spectra are demonstrated to scale with optical band gap differences for TiO2, ZrO2, and HfO2, providing important information relevant to applications of TM oxides as high-κ gate dielectrics in advanced Si devices. This is demonstrated through additional scaling relationships between (i) conduction band offset energies between Si and the respective dielectrics, and the optical band gaps, and (ii) the conduction band offset energies, and the electron tunneling masses as well.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Lucovsky, G and Hong, JG and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H}, year={2004}, pages={2132–2138} } @article{cook_fulton_mecouch_davis_lucovsky_nemanich_2003, title={Band offset measurements of the GaN (0001)/HfO2 interface}, volume={94}, DOI={10.1063/1.1618374}, number={11}, journal={Journal of Applied Physics}, author={Cook, T. E. and Fulton, C. C. and Mecouch, W. J. and Davis, R. F. and Lucovsky, G. and Nemanich, R. J.}, year={2003}, pages={7155–7158} } @article{cook_fulton_mecouch_davis_lucovsky_nemanich_2003, title={Band offset measurements of the Si3N4/GaN (0001) interface}, volume={94}, ISSN={["0021-8979"]}, DOI={10.1063/1.1601314}, abstractNote={X-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy were used to measure electronic states as Si3N4 was deposited on clean GaN (0001) surfaces. The n-type (2×1018) and p-type (1×1017) GaN surfaces were atomically cleaned in NH3 at 860 °C, and the n-and p-type surfaces showed upward band bending of ∼0.2±0.1 eV and downward band bending of 1.1±0.1 eV, respectively, both with an electron affinity of 3.1±0.1 eV. Layers of Si (∼0.2 nm) were deposited on the clean GaN and nitrided using an electron cyclotron resonance N2 plasma at 300 °C and subsequently annealed at 650 °C for densification into a Si3N4 film. Surface analysis was performed after each step in the process, and yielded a valence band offset of 0.5±0.1 eV. Both interfaces exhibited type II band alignment where the valence band maximum of GaN lies below that of the Si3N4 valence band. The conduction band offset was deduced to be 2.4±0.1 eV, and a change of the interface dipole of 1.1±0.1 eV was observed for Si3N4/GaN interface formation.}, number={6}, journal={JOURNAL OF APPLIED PHYSICS}, author={Cook, TE and Fulton, CC and Mecouch, WJ and Davis, RF and Lucovsky, G and Nemanich, RJ}, year={2003}, month={Sep}, pages={3949–3954} } @article{lucovsky_raynor_zhang_fulton_nemanich_appel_ade_whitten_2003, title={Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices}, volume={212}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(03)00055-2}, abstractNote={Transition metal silicates, (ZrO2)x(SiO2)1� x, have dielectric constants k > 10 that make them attractive for advanced Si devices. Band offset energies relative to Si are an important factor in determining tunneling leakage current, and internal photoemission. Studies by X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) and X-ray absorption spectroscopy (XAS) are combined with ab initio calculations to identify the compositional variation of the band-gap, and valence and conduction band offset energies of Zr silicate alloys with respect to Si. The minimum conduction band offset, due to}, number={2003 May 15}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Raynor, GB and Zhang, Y and Fulton, CC and Nemanich, RJ and Appel, G and Ade, H and Whitten, JL}, year={2003}, month={May}, pages={563–569} } @article{cook_fulton_mecouch_tracy_davis_hurt_lucovsky_nemanich_2003, title={Measurement of the band offsets of SiO2 on clean n- and p-type GaN(0001)}, volume={93}, ISSN={["0021-8979"]}, DOI={10.1063/1.1559424}, abstractNote={The band alignment at the SiO2-GaN interface is important for passivation of high voltage devices and for gate insulator applications. X-ray photoelectron spectroscopy and ultraviolet photoemission spectroscopy have been used to observe the interface electronic states as SiO2 was deposited on clean GaN(0001) surfaces. The substrates, grown by metallorganic chemical vapor deposition, were n- (1×1017) and p-type (2×1018) GaN on 6H-SiC(0001) with an AlN(0001) buffer layer. The GaN surfaces were atomically cleaned via an 860 °C anneal in an NH3 atmosphere. For the clean surfaces, n-type GaN showed upward band bending of 0.3±0.1 eV, while p-type GaN showed downward band bending of 1.3±0.1 eV. The electron affinity for n- and p-type GaN was measured to be 2.9±0.1 and 3.2±0.1 eV, respectively. To avoid oxidizing the GaN, layers of Si were deposited on the clean GaN surface via ultrahigh vacuum e-beam deposition, and the Si was oxidized at 300 °C by a remote O2 plasma. The substrates were annealed at 650 °C for densification of the SiO2 films. Surface analysis techniques were performed after each step in the process, and yielded a valence band offset of 2.0±0.2 eV and a conduction band offset of 3.6±0.2 eV for the GaN-SiO2 interface for both p- and n-type samples. Interface dipoles of 1.8 and 1.5 eV were deduced for the GaN-SiO2 interface for the n- and p-type surfaces, respectively.}, number={7}, journal={JOURNAL OF APPLIED PHYSICS}, author={Cook, TE and Fulton, CC and Mecouch, WJ and Tracy, KM and Davis, RF and Hurt, EH and Lucovsky, G and Nemanich, RJ}, year={2003}, month={Apr}, pages={3995–4004} } @article{fulton_lucovsky_nemanich_2002, title={Electronic states at the interface of Ti-Si oxide on Si(100)}, volume={20}, ISSN={["1071-1023"]}, DOI={10.1116/1.1493785}, abstractNote={The requirement for high K dielectrics for Si devices includes both a low interface state density and a band alignment that blocks both electrons and holes. Titanium dioxide materials are known to exhibit dielectric constants of 80 or higher depending on the crystal structure and, as such, are prime candidates for gate dielectrics. We employ an ultrathin layer of SiO2 prior to the formation of a Ti oxide to limit the density of defect states. The electronic structure is observed during the stepwise growth of the oxide using x-ray and ultraviolet photoemission spectroscopy. Measurements indicate Ti oxide states at approximately 2 eV below the Si valence band maximum suggesting that the TiO2 conduction band aligns with the Si conduction band. The results indicate nearly flat bands in the silicon consistent with a low interface state density.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Fulton, CC and Lucovsky, G and Nemanich, RJ}, year={2002}, pages={1726–1731} }