@article{lucovsky_lee_long_seo_luening_2009, title={Interfacial transition regions at germanium/Hf oxide based dielectric interfaces: Qualitative differences between non-crystalline Hf Si oxynitride and nanocrystalline HfO2 gate stacks}, volume={86}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2008.05.023}, abstractNote={The contribution from a relatively low-K SiON (K ∼ 6) interfacial transition region (ITR) between Si and transition metal high-K gate dielectrics such as nanocrystalline HfO2 (K ∼ 20), and non-crystalline Hf Si oxynitride (K ∼ 10–12) places a significant limitation on equivalent oxide thickness (EOT) scaling. This limitation is equally significant for metal-oxide-semiconductor capacitors and field effect transistors, MOSCAPs and MOSFETs, respectively, fabricated on Ge substrates. This article uses a novel remote plasma processing approach to remove native Ge ITRs and bond transition metal gate dielectrics directly onto crystalline Ge substrates. Proceeding in this way we identify (i) the source of significant electron trapping at interfaces between Ge and Ge native oxide, nitride and oxynitride ITRs, and (ii) a methodology for eliminating native oxide, or nitride IRTs on Ge, and achieving direct contact between nanocrystalline HfO2 and non-crystalline high Si3N4 content Hf Si oxynitride alloys, and crystalline Ge substrates. We then combine spectroscopic studies, theory and modeling with electrical measurements to demonstrate the relative performance of qualitatively different nanocrystalline and non-crystalline gate dielectrics for MOS Ge test devices.}, number={3}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G. and Lee, S. and Long, J. P. and Seo, H. and Luening, J.}, year={2009}, month={Mar}, pages={224–234} } @article{seo_chung_long_lucovsky_2009, title={Preparation of Native Oxide and Carbon-Minimized Ge Surface by NH4OH-Based Cleaning for High-k/Ge MOS Gate Stacks}, volume={156}, ISSN={["1945-7111"]}, DOI={10.1149/1.3212848}, abstractNote={The native oxide and carbon-contaminant-minimized Ge surface with ammonium hydroxide (NH 4 0H)-based cleaning for high-k/Ge metal-oxide-semiconductor (MOS) gate stack application is reported. Furthermore, the carbon-free interfacial Ge-oxide layer preparation on the cleaned Ge surfaces was also studied. The thickness of GeO 2 on Ge surface before, during, and after different cleaning processes was evaluated by the spectroscopic ellipsometry measurements. The HF rinsing step in the cyclic HF/deionized water cleaning was not effective for a removal of native GeO 2 because it cannot form the soluble species by a chemical reaction in the low pH HF solution. A cyclic NH 4 OH-based cleaning results in a minimum residual GeO 2 at 3 and 8 A on Ge(111) and (100), respectively. The fast regrowth of GeO 2 on cleaned Ge surfaces under air exposure was observed, regardless of the cleaning methods. However, Auger electron spectroscopy spectra showed less amount of carbon on Ge surfaces with NH 4 OH-based cleaning than HF-based cleaning. The small amount of residual carbon after NH 4 OH-based wet cleaning was completely removed by O 2 plasma for a very thin ( ∼10 A) surface oxidation in the remote plasma-enhanced chemical vapor deposition chamber.}, number={11}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Seo, H. and Chung, K. B. and Long, J. P. and Lucovsky, G.}, year={2009}, pages={H813–H817} } @article{chung_long_seo_lucovsky_nordlund_2009, title={Thermal evolution and electrical correlation of defect states in Hf-based high-k dielectrics on n-type Ge (100): Local atomic bonding symmetry}, volume={106}, number={7}, journal={Journal of Applied Physics}, author={Chung, K. B. and Long, J. P. and Seo, H. and Lucovsky, G. and Nordlund, D.}, year={2009} } @article{lucovsky_lee_long_seo_luning_2008, title={Elimination of GeO2 and Ge3N4 interfacial transition regions and defects at n-type Ge interfaces: A pathway for formation of n-MOS devices on Ge substrates}, volume={254}, DOI={10.1016/j.apsusc.2008.03.157}, abstractNote={The contribution from relatively low-K SiON interfacial transition regions (ITRs) between Si and transition metal (TM) gate dielectrics places a significant limitation on equivalent oxide thickness (EOT) scaling for Si complementary metal-oxide-semiconductor (CMOS) devices. This limitation is equally significant and limiting for Ge CMOS devices. Low-K Ge-based ITRs in Ge devices have also been shown to limit performance and reliability, particular for n-MOS field effect transistors. This article identifies the source of significant electron trapping at interfaces between n-Ge or inverted p-Ge, and Ge oxide, nitride and oxynitride ITRs. This is shown to be an interfacial band alignment issue in which native Ge ITRs have conduction band offset energies smaller than those of TM dielectrics, and trap electrons for negative Ge substrate bias. This article also describes a novel remote plasma processing approach for effectively eliminating any significant native Ge ITRs and using a plasma-processing/annealing process sequence for bonding TM gate dielectrics directly to the Ge substrate surface.}, number={23}, journal={Applied Surface Science}, author={Lucovsky, G. and Lee, S. and Long, J. P. and Seo, H. and Luning, J.}, year={2008}, pages={7933–7937} } @article{lee_long_lucovsky_luening_2008, title={Suppression of Ge-O and Ge-N bonding at Ge-HfO2 and Ge-TiO2 interfaces by deposition onto plasma-nitrided passivated Ge substrates}, volume={517}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2008.08.099}, abstractNote={A study of changes in nano-scale morphology of thin films of nano-crystalline transition metal (TM) elemental oxides, HfO2 and TiO2, on plasma-nitrided Ge(100) substrates, and Si(100) substrates with ultra-thin (∼ 0.8 nm) plasma-nitrided Si suboxide, SiOx, x < 2, or SiON interfacial layers is presented. Near edge X-ray absorption spectroscopy (NEXAS) has been used to determine nano-scale morphology of these films by Jahn–Teller distortion removal of band-edge d-state degeneracies. These results identify a new and novel application for NEXAS based on the resonant character of the respective O K1 and N K1 edge absorptions. Their X-ray energy difference of > 150 eV is critical for this approach.}, number={1}, journal={THIN SOLID FILMS}, author={Lee, S. and Long, J. P. and Lucovsky, G. and Luening, J.}, year={2008}, month={Nov}, pages={155–158} } @article{lee_long_lucovsky_whitten_seo_luning_2008, title={Suppression of Ge-O and Ge-N bonding at Ge-HfO2 and Ge-TiO2 interfaces by deposition onto plasma-nitrided passivated Ge substrates: Integration issues Ge gate stacks into advanced devices}, volume={48}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2007.07.068}, abstractNote={A study of changes in nano-scale morphology of thin films of nano-crystalline transition metal (TM) elemental oxides, HfO2 and TiO2, on plasma-nitrided Ge(1 0 0) substrates, and Si(1 0 0) substrates with ultra-thin (∼0.8 nm) plasma-nitrided Si suboxide, SiOx, x < 2, or SiON interfacial layers is presented. Near edge X-ray absorption spectroscopy (NEXAS) has been used to determine nano-scale morphology of these films by Jahn-Teller distortion removal of band edge d-state degeneracies. These results identify a new and novel application for NEXAS based on the resonant character of the respective O K1 and N K1 edge absorptions. This paper also includes a brief discussion of the integration issues for the introduction of this Ge breakthrough into advanced semiconductor circuits and systems. This includes a comparison of nano-crystalline and non-crystalline dielectrics, as well as issues relative to metal gates.}, number={3}, journal={MICROELECTRONICS RELIABILITY}, author={Lee, S. and Long, J. P. and Lucovsky, G. and Whitten, J. L. and Seo, H. and Luning, J.}, year={2008}, month={Mar}, pages={364–369} } @article{chung_seo_long_lucovsky_2008, title={Suppression of defect states in HfSiON gate dielectric films on n-type Ge(100) substrates}, volume={93}, ISSN={["0003-6951"]}, DOI={10.1063/1.3005422}, abstractNote={Defect states in HfO2 and HfSiON films deposited on Ge(100) substrates were studied by spectroscopic ellipsometry (SE) and x-ray absorption spectroscopy (XAS). In addition, structural and compositional changes in these films were examined via medium energy ion scattering (MEIS). SE and XAS experiments revealed two distinct band edge defect states, located at 1.7±0.1eV and at 2.7±0.1 below the conduction band edges of these films. The number of defect states in HfO2 increased noticeably following postdeposition annealing (PDA), whereas in HfSiON, it showed only small increases following the same treatment. MEIS measurements showed that Ge diffusion into HfO2 films was enhanced significantly by PDA as well; however, this effect was less pronounced in the HfSiON films. The suppression of defect state enhancement in HfSiON films was correlated with lower levels of Ge diffusion and increased structural stability with respect to HfO2.}, number={18}, journal={APPLIED PHYSICS LETTERS}, author={Chung, K. B. and Seo, H. and Long, J. P. and Lucovsky, G.}, year={2008}, month={Nov} } @article{wang_park_saripalli_johnson_zeng_barlage_long_2006, title={Optical spectroscopic analysis of selected area epitaxially regrown n(+) gallium nitride}, volume={99}, ISSN={["1089-7550"]}, DOI={10.1063/1.2204755}, abstractNote={Gallium nitride (GaN) metal-insulator-semiconductor field-effect transistor with regrown by selected area metal organic vapor-phase-epitaxy n+ layer has been analyzed by micro-Raman and microphotoluminescence (micro-PL) spectroscopy. The material properties of the regrown n+ layer and the intrinsic layer in the gate region were extracted by using both spectroscopies. The free-carrier concentration of the regrown GaN layer and the intrinsic layer were determined by line shape analysis of the coupled plasmon-phonon mode to be 4.7×1017 and <3×1016cm−3, respectively. The inefficient substitutions of Ga vacancy (VGa) by Si result in relatively low carrier concentration in the regrown GaN layer. From the shift of E2(2) Raman peak and the near-band-edge (NBE) PL peak, the biaxial compressive stress in the intrinsic layer was found to be 0.4GPa. The residual stress was found to be fully relaxed in the regrown layer. The Si doping concentration in the regrown layer was determined to be 2×1019cm−3 based on the pote...}, number={12}, journal={JOURNAL OF APPLIED PHYSICS}, author={Wang, D. and Park, M. and Saripalli, Y. N. and Johnson, M. A. L. and Zeng, C. and Barlage, D. W. and Long, J. P.}, year={2006}, month={Jun} } @article{saripalli_zeng_long_barlage_johnson_braddock_2006, title={Properties of III-N MOS structures with low-temperature epitaxially regrown ohmic contacts}, volume={287}, ISSN={["1873-5002"]}, DOI={10.1016/j.jcrysgro.2005.10.075}, abstractNote={A significant limitation in the fabrication of III-N MOSFET relates to the formation of ohmic contacts for enhancement-mode MOSFET structures. Unlike existing III-N HFET devices, which include a high free-carrier density two-dimensional electron gas (2DEG) in the semiconductor substrate, a MOSFET in either accumulation or inversion mode require low free-carrier concentrations for the semiconductor channel to have an off-state. The applied gate bias enhances the free-carrier density in the channel, turning on the FET. Unfortunately, a low free-carrier density substrate is problematic for the formation of ohmic contacts, a problem usually dealt with in silicon MOS through self-aligned ion implantation. The high annealing temperatures associated with activating implanted dopants to substitutional sites limits the use of ion implantation for III-N MOSFET fabrication. To overcome this difficulties, selected area epitaxial re-growth of doped III-N materials was developed to form source-drain contacts on otherwise low-doped III-N epitaxial substrates, yielding the needed N+/n−/N+ or N+/p−/N+ structures. Contact re-growth was performed by MOVPE using a silicon nitride dielectric mask defining plasma-etched recesses in the source-drain region. A significant acceleration in the growth rate and surface roughening was observed following re-growth relative to a non-selective area epitaxial growth due to the reduced fill-factor, motivating a general change in MOVPE-operating conditions during re-growth. As the re-growth was intentionally designed to limit the lateral extent of the source-drain regions, the MOVPE re-growth process was performed under conditions limiting lateral overgrowth. III-N MOSFET structures with epitaxial regrown contacts are projected to provide a pathway for low threshold voltage devices suitable for amplifier or logic applications.}, number={2}, journal={JOURNAL OF CRYSTAL GROWTH}, author={Saripalli, YN and Zeng, C and Long, JP and Barlage, DW and Johnson, MAL and Braddock, D}, year={2006}, month={Jan}, pages={562–565} } @article{long_varadaraajan_matthews_schetzina_2002, title={UV detectors and focal plane array imagers based on AlGaN p-i-n photodiodes}, volume={10}, number={4}, journal={Opto-electronics Review}, author={Long, J. P. and Varadaraajan, S. and Matthews, J. and Schetzina, J. F.}, year={2002}, pages={251–260} }