Works (150)

Updated: April 4th, 2024 05:29

2023 article

A Reflection-Mode N-Path Filter Tunable From 6 to 31 GHz

Hari, S., Ellington, C. J., & Floyd, B. A. (2023, January 18). IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 1.

By: S. Hari n, C. Ellington n & B. Floyd n

author keywords: Bandpass; frequency selective; millimeter wave (mmWave); N-path; passive mixer; reflection mode; transversal filter; tunable filter
Sources: Web Of Science, NC State University Libraries
Added: February 20, 2023

2023 article

Analysis and Design of Baseband Circuits for Higher-Order Reflection-Mode N-Path Filters

Ellington, C. J., Hari, S., & Floyd, B. A. (2023, October 20). IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, Vol. 10.

By: C. Ellington n, S. Hari n & B. Floyd n

author keywords: Mixers; Baseband; Mathematical models; Filtering theory; Admittance; Reflection; Impedance; Software-defined radio; N-path; reflection-mode; tunable filter; higher-order; RF; mm-wave; X-band; baseband techniques; Butterworth; Chebyshev; elliptic; Cauer
UN Sustainable Development Goal Categories
11. Sustainable Cities and Communities (OpenAlex)
Sources: Web Of Science, NC State University Libraries
Added: November 13, 2023

2023 article

RF-to-Millimeter-Wave Receivers Employing Frequency-Translated Feedback

Dean, J., Hari, S., & Floyd, B. A. (2023, October 11). IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 10.

By: J. Dean n, S. Hari n & B. Floyd n

author keywords: 5G; beamforming; CMOS; direct conversion; frequency-translational feedback; front end; impedance matching; millimeter wave (mm-wave); mixer; N-path; negative feedback; passive mixer; receiver (RX); software-defined radio; wideband
Sources: Web Of Science, NC State University Libraries
Added: November 6, 2023

2023 journal article

Reduction of Phase and Gain Control Dependencies Within a 20 GHz Beamforming Receiver IC

IEEE ACCESS, 11, 68066–68078.

By: Y. Chang n & B. Floyd n

author keywords: Variable gain amplifier (VGA); vector interpolator; phase shifter; gain dependent phase variation; IQ crosstalk; CMOS; SOI; beamformer; phased arrays
UN Sustainable Development Goal Categories
8. Decent Work and Economic Growth (OpenAlex)
Sources: Web Of Science, NC State University Libraries
Added: July 31, 2023

2022 article

Automating Operator Oversight in an Autonomous, Regulated, Safety-Critical Research Facility

2022 31ST INTERNATIONAL CONFERENCE ON COMPUTER COMMUNICATIONS AND NETWORKS (ICCCN 2022).

By: T. Samal n, R. Dutta n, I. Guvenc n, M. Sichitiu n, B. Floyd n & T. Zajkowski n

author keywords: Wireless; testbed; 5G; NextG; UAV; UAS; drone
TL;DR: The challenges and considerations of designing architectural mechanisms that balance freedom of experimentation with regulatory compliance and safety are articulated, and the architectural features of AERPAW are presented that attempt to realize these lofty goals. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, NC State University Libraries
Added: October 17, 2022

2022 article

Beamformer Calibration Using Coded Correlations

2022 IEEE INTERNATIONAL SYMPOSIUM ON PHASED ARRAY SYSTEMS & TECHNOLOGY (PAST).

By: Z. Hong n & B. Floyd n

author keywords: Phased array; calibration; built-in self-test; CoMET; code modulation; beamformer; phase shifter
Sources: Web Of Science, NC State University Libraries
Added: February 27, 2023

2022 article

High Dimensional Optimization for Electronic Design

MLCAD '22: PROCEEDINGS OF THE 2022 ACM/IEEE 4TH WORKSHOP ON MACHINE LEARNING FOR CAD (MLCAD), pp. 153–157.

By: Y. Wen n, J. Dean n, B. Floyd n & P. Franzon n

author keywords: Electronic Design Automation (EDA); High Dimensions; Bayesian Optimization; Random Embeddings; Local Inspection; Analog Circuits
TL;DR: IC-REMBO improves the effectiveness and efficiency of the Random EMbedding Bayesian Optimization (REMBO) approach, which is a state-of-the-art high dimensional optimization method and is the first time applying REMBO or inspection method to electronic design. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, NC State University Libraries
Added: October 31, 2022

2022 article

National Radio Dynamic Zone Concept with Autonomous Aerial and Ground Spectrum Sensors

2022 IEEE INTERNATIONAL CONFERENCE ON COMMUNICATIONS WORKSHOPS (ICC WORKSHOPS), pp. 687–692.

By: S. Maeng n, I. Guvenc n, M. Sichitiu n, B. Floyd n, R. Dutta n, T. Zajkowski n, O. Ozdemir n, M. Mushi n

author keywords: Index Words; 5G; cellular drone; mmWave; spectral efficiency; wireless security; NRDZ.
TL;DR: This paper elaborate on key characteristics and features of an NRDZ to enable advanced wireless experimentation while also coexisting with licensed users and some preliminary results based on simulation and experimental evaluations are provided on out-of-zone leakage monitoring and real-time REMs. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries
Added: September 26, 2022

2022 article

Simultaneous Phased-Array Element Testing Using Orthogonal Amplitude Modulation

2022 IEEE INTERNATIONAL SYMPOSIUM ON PHASED ARRAY SYSTEMS & TECHNOLOGY (PAST).

By: S. Almahmoud n, Z. Hong n & B. Floyd n

author keywords: Coded modulation; phased array; beamformer; built-in self-test; BIST; CoMET
TL;DR: The theory for AM-CoMET is presented and its operation using an eight-element phased array transmitter operating at 8 GHz is demonstrated, showing that AM- coMET extracted gain and phase are accurate to within 0.25 dB gain error and 2° phase error. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries
Added: February 27, 2023

2022 article

The AERPAW Experiment Workflow - Considerations for Designing Usage Models for a Computing-supported Physical Research Platform

IEEE INFOCOM 2022 - IEEE CONFERENCE ON COMPUTER COMMUNICATIONS WORKSHOPS (INFOCOM WKSHPS).

By: M. Mushi*, H. Joshi*, R. Dutta*, I. Guvenc*, M. Sichitiu*, B. Floyd*, T. Zajkowski n

author keywords: Wireless; testbed; 5G; NextG; UAV; UAS; drone
TL;DR: The challenges and considerations of designing an experiment workflow that balances the need for guaranteeing safe testbed operation, and providing flexible programmatic access to this unique resource are articulated. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries
Added: October 31, 2022

2021 journal article

75-86-GHz Signal Generation Using a Phase-Controlled Quadrature-Push Quadrupler Driven by a QVCO or a Tunable Polyphase Filter

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, 69(10), 4521–4532.

By: Y. Yeh n, W. Wang n & B. Floyd n

Contributors: Y. Yeh n, W. Wang n & B. Floyd n

author keywords: Frequency multiplier; harmonic rejection; millimeter-wave (mmWave); phase noise; power efficiency; quadrature voltage-controlled oscillator (QVCO); quadrupler; SiGe BiCMOS; W-band
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: October 18, 2021

2021 article

A 4-31GHz Direct-Conversion Receiver Employing Frequency-Translated Feedback

ESSCIRC 2021 - IEEE 47TH EUROPEAN SOLID STATE CIRCUITS CONFERENCE (ESSCIRC), pp. 187–190.

By: J. Dean n, S. Hari n, A. Bhat n & B. Floyd n

Contributors: J. Dean n, S. Hari n, A. Bhat n & B. Floyd n

author keywords: passive-mixer; receiver; N-path; beamforming; direct-conversion; negative feedback; frequency-translational feedback; CMOS; front-end; 5G
TL;DR: This paper presents a multi-band direct-conversion receiver with frequency-translated feedback that employs tunable resistor banks attached to additional four-phase passive mixers, allowing tunable frequency-selective input matching. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: ORCID, Web Of Science, NC State University Libraries
Added: December 10, 2021

2021 conference paper

A 6-31 GHz tunable reflection-mode N-path filter

Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2021-June, 143–146.

By: S. Hari n, C. Ellington n & B. Floyd n

Contributors: S. Hari n, C. Ellington n & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2021 article

A Taxonomy and Survey on Experimentation Scenarios for Aerial Advanced Wireless Testbed Platforms

2021 IEEE AEROSPACE CONFERENCE (AEROCONF 2021).

By: M. Chowdhury n, C. Anjinappa n, I. Guvenc n, M. Sichitiu n, O. Ozdemir n, U. Bhattacherjee n, R. Dutta n, V. Marojevic*, B. Floyd n

Contributors: M. Chowdhury n, C. Anjinappa n, I. Guvenc n, M. Sichitiu n, O. Ozdemir n, U. Bhattacherjee n, R. Dutta n, V. Marojevic*, B. Floyd n

TL;DR: A brief overview of large-scale advanced wireless experimentation platforms broadly available to the wireless research community, including also the Aerial Experimentation and Research Platform for Advanced Wireless (AERPAW). (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, NC State University Libraries, ORCID
Added: September 13, 2021

2021 journal article

AERPAW emulation overview and preliminary performance evaluation

COMPUTER NETWORKS, 194.

By: A. Panicker n, O. Ozdemir n, M. Sichitiu n, I. Guvenc n, R. Dutta n, V. Marojevic*, B. Floyd n

Contributors: A. Panicker n, O. Ozdemir n, M. Sichitiu n, I. Guvenc n, R. Dutta n, V. Marojevic*, B. Floyd n

author keywords: AERPAW; Emulation; UAV
TL;DR: This paper first presents the emulation design of AERPAW vehicles, then describes various different options for wireless channel emulation in AerPAW, and starts with a generalized model for wireless emulation, and expands that model to packet-level emulation, I-Q level emulator, and radio-frequency (RF)-level emulation. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, NC State University Libraries, ORCID
Added: April 21, 2021

2021 journal article

An X-Band Code-Modulated Interferometric Imager

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, 69(11), 4856–4868.

By: V. Chauhan n, Z. Hong n, S. Schoenherr & B. Floyd n

Contributors: V. Chauhan n, Z. Hong n, S. Schonherr n & B. Floyd n

author keywords: Code modulation; imaging; interferometry; millimeter wave (mmWave); phased arrays; X-band
UN Sustainable Development Goal Categories
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 16, 2021

2021 article

Board-level code-modulated embedded test and calibration of an X-band phased-array transceiver

ArXiv. http://www.scopus.com/inward/record.url?eid=2-s2.0-85111040784&partnerID=MN8TOARS

By: Z. Hong, S. Schönherr, V. Chauhan & B. Floyd

Contributors: Z. Hong, S. Schönherr, V. Chauhan & B. Floyd

Source: ORCID
Added: December 10, 2021

2021 journal article

Code-Modulated Embedded Test and Calibration of Phased-Array Transceivers

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, 69(3), 1846–1859.

By: Z. Hong n, V. Chauhan n, S. Schoenherr & B. Floyd n

Contributors: Z. Hong n, V. Chauhan n, S. Schonherr n & B. Floyd n

author keywords: Correlation; Calibration; Phased arrays; Phase measurement; Built-in self-test; Antenna measurements; Antenna arrays; Built-in self-test (BIST); calibration; code modulation; interferometry; millimeter wave (mmWave); phased arrays
Sources: Web Of Science, NC State University Libraries, ORCID
Added: March 5, 2021

2021 journal article

The Hybrid 2021 RFIC Symposium

IEEE MICROWAVE MAGAZINE, 22(5), 72–75.

By: B. Floyd n, O. Shana'a* & D. Lie*

Contributors: B. Floyd n, O. Shana'a* & D. Lie*

Sources: Web Of Science, NC State University Libraries, ORCID
Added: May 10, 2021

2020 article

2020 RFIC Symposium

Khalil, W., Floyd, B., & Shana'a, O. (2020, May). IEEE MICROWAVE MAGAZINE, Vol. 21, pp. 30–32.

By: W. Khalil*, B. Floyd n & O. Shana'a

Contributors: W. Khalil*, B. Floyd n & O. Shana'a

Sources: Web Of Science, NC State University Libraries, ORCID
Added: April 27, 2020

2020 article

A 20-33 GHz Direct-Conversion Transmitter in 45-nm SOI CMOS

2020 IEEE BICMOS AND COMPOUND SEMICONDUCTOR INTEGRATED CIRCUITS AND TECHNOLOGY SYMPOSIUM (BCICTS).

By: T. Ren n, S. Hari n & B. Floyd n

Contributors: T. Ren n, S. Hari n & B. Floyd n

author keywords: Transmitter; wide-band; IQ modulator; Gilbert cell; power amplifier; CMOS SOI; millimeter-wave; 5G
TL;DR: This paper presents a 20–33 GHz direct-conversion transmitter implemented in 45-nm RFSOI CMOS technology that features a divider-based quadrature clock generation circuit, two current-combined double-balanced mixers, and a balanced power amplifier (PA) employing stacked FETs. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 23, 2021

2020 conference paper

A 60 GHz Code-modulated interferometric imaging system using a phased array

In D. A. Robertson & D. A. Wikner (Eds.), Proceedings of SPIE - The International Society for Optical Engineering (Vol. 11411).

By: V. Chauhan n, H. Seo*, K. Greene n, D. Kam* & B. Floyd n

Contributors: V. Chauhan n, H. Seo*, K. Greene n, D. Kam* & B. Floyd n

Ed(s): D. Robertson & D. Wikner

author keywords: phased array; imaging; code-modulation; millimeter-wave; V-band; interferometry
Source: ORCID
Added: December 1, 2020

2020 conference paper

AERPAW Emulation Overview

WiNTECH 2020 - Proceedings of the 14th ACM Workshop on Wireless Network Testbeds, Experimental evaluation and CHaracterization, Part of ACM MobiCom 2020, 1–8.

TL;DR: This paper first presents the emulation design of AERPAW vehicles, then describes various different options for wireless channel emulation in AerPAW, and starts with a generalized model for wireless emulation, and expands that model to packet-level emulation, I-Q level emulator, and radio-frequency (RF)-level emulation. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Source: ORCID
Added: December 10, 2021

2020 journal article

Advanced Wireless for Unmanned Aerial Systems: 5G Standardization, Research Challenges, and AERPAW Architecture

IEEE Vehicular Technology Magazine, 15(2), 22–30.

By: V. Marojevic*, I. Guvenc n, R. Dutta n, M. Sichitiu n & B. Floyd n

Contributors: V. Marojevic*, I. Guvenc n, R. Dutta n, M. Sichitiu n & B. Floyd n

author keywords: 5G mobile communication; Wireless communication; Software; Long Term Evolution; 3GPP; Three-dimensional displays
TL;DR: This article discusses relevant standardization efforts for the integration of unmanned aerial systems (UASs) into 5G and the requirements for an aerial wireless testbed and introduces the aerial experimentation and research platform for advanced wireless (AERPAW). (via Semantic Scholar)
UN Sustainable Development Goal Categories
9. Industry, Innovation and Infrastructure (OpenAlex)
Source: ORCID
Added: April 9, 2020

2020 journal article

Mixer-First MIMO Receiver with Reconfigurable Multi-Port Decoupling and Matching

IEEE Journal of Solid-State Circuits, 55(5), 1401–1410.

By: C. Wilson n, J. Dean n & B. Floyd n

Contributors: C. Wilson n, J. Dean n & B. Floyd n

author keywords: Decoupling; multiple-input multiple-output (MIMO); mixer-first; N-phase; passive mixer; polyphase; receiver
TL;DR: The impedance of a multi-port receiver is analyzed and shown to be able to provide a wideband tunable and broadband coupled response with an array of RC baseband networks. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2020 journal article

Multibeam Phased-Arrays Using Dual-Vector Distributed Beamforming: Architecture Overview and 28 GHz Transceiver Prototypes

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, 67(12), 5496–5509.

By: Y. Yeh n & B. Floyd n

Contributors: Y. Yeh n & B. Floyd n

author keywords: Array signal processing; Radio frequency; Transceivers; Interpolation; Phased arrays; Receivers; Mixers; Phased array; hybrid beamforming; transceiver; SiGe BiCMOS; fifth generation (5G); 28 GHz; multibeam; phase shifter; millimeter-wave; series-feed; dual-vector distributed beamforming; integrated circuits; wideband
TL;DR: This article presents a dual-vector distributed beamformer architecture that employs a series-feed network and is capable of supporting up to four simultaneous beams and concludes that the DVDB with passive interpolation at RF is better suited for partitioned systems where beamformers and transceivers are realized on separate chips to support larger, scalable arrays. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: January 11, 2021

2020 journal article

Tunable 0.7-2.8-GHz Reflection-Mode N-Path Filters in 45-nm SOI CMOS

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, 68(6), 2343–2357.

By: J. Bonner-Stewart n, C. Wilson n & B. Floyd n

Contributors: J. Bonner-Stewart n, C. Wilson n & B. Floyd n

author keywords: Harmonic cancellation; N-path reflection mode; SOI; transversal filter; tunable filter
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: July 13, 2020

2020 conference paper

Welcome Message from Chairs

Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2020-August, i-ii.

By: W. Khalil, B. Floyd & O. Shana’a

Contributors: W. Khalil, B. Floyd & O. Shana'a

Source: ORCID
Added: December 10, 2021

2019 article

2019 RFIC Symposium

Pellerano, S., Khalil, W., & Floyd, B. (2019, April). IEEE MICROWAVE MAGAZINE, Vol. 20, pp. 68-+.

By: S. Pellerano*, W. Khalil* & B. Floyd n

Contributors: S. Pellerano*, W. Khalil* & B. Floyd n

TL;DR: The 2019 IEEE RFIC Symposium, the premier IC design conference focused exclusively on the latest advances in RF, microwave, and millimeter-wave (mm-wave) IC technologies and designs as well as innovations in highfrequency analog/mixed-signal ICs, is held in Boston, Massachusetts, 2–4 June 2019. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: March 25, 2019

2019 conference paper

A 10-GHz Code-Modulated Interferometric Imager Using Commercial-Off-The-Shelf Phased Arrays

IEEE MTT-S International Microwave Symposium Digest, 2019-June, 1015–1018.

By: V. Chauhan n, S. Schonherr n, Z. Hong n & B. Floyd n

Contributors: V. Chauhan n, S. Schonherr n, Z. Hong n & B. Floyd n

TL;DR: A 33-pixel, eight-element prototype of code-modulated interferometric imaging is created using two commercially-available ADAR1000 phased-array receivers from Analog Devices Inc. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Source: ORCID
Added: December 1, 2020

2019 conference paper

A 21 to 31 GHz Multi-Stage Stacked SOI Power Amplifier with 33% PAE and 18 dBm Output Power

2019 IEEE MTT-S International Microwave Conference on Hardware and Systems for 5G and Beyond, IMC-5G 2019.

By: T. Ren n & B. Floyd n

Contributors: T. Ren n & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2019 conference paper

A Broadband Reflection-Type Phase Shifter Achieving Uniform Phase and Amplitude Response across 27 to 31 GHz

2019 IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, BCICTS 2019.

By: Y. Chang n & B. Floyd n

Contributors: Y. Chang n & B. Floyd n

TL;DR: This paper demonstrates a broadband reflection-type phase shifter (RTPS) for millimeter-wave phased-array applications and proposes a method to select these control voltages under an intended insertion-loss constraint to minimize the average root-mean squared (RMS) phase error across the entire band. (via Semantic Scholar)
Source: ORCID
Added: December 10, 2021

2019 conference paper

Approaches to Nonoverlapping Clock Generation for RF to Millimeter-Wave Mixer-First Receivers

2019 IEEE MTT-S International Microwave Conference on Hardware and Systems for 5G and Beyond, IMC-5G 2019.

By: S. Hari n, A. Bhat n, C. Wilson n & B. Floyd n

Contributors: S. Hari n, A. Bhat n, C. Wilson n & B. Floyd n

TL;DR: This paper compares four-phase clock-generation approaches used in two high-frequency mixer-first receivers-a polyphase filter- based scheme as part of a 20–30 GHz receiver and a divider-based scheme aspart of 5–31 GHz receiver. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2019 conference paper

Comparison of 10/20/40 GHz Quadrature VCOs for W-band FMCW Radar Systems in 90nm SiGe BiCMOS Technology

2019 IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, BCICTS 2019.

By: W. Wang n & B. Floyd n

Contributors: W. Wang n & B. Floyd n

TL;DR: This paper presents and compares quadrature voltage-controlled oscillators operating at 10, 20, and 40 GHz for use within W-band frequency-modulated continuous wave (FMCW) radar systems and indicates that the lower frequency design is preferable for the FMCW radar system, provided that high-performance multipliers are available. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2019 conference paper

Free-Space Phased-Array Characterization and Calibration Using Code-Modulated Embedded Test

IEEE MTT-S International Microwave Symposium Digest, 2019-June, 1225–1228.

By: Z. Hong n, S. Schonherr n, V. Chauhan n & B. Floyd n

Contributors: Z. Hong n, S. Schonherr n, V. Chauhan n & B. Floyd n

Source: ORCID
Added: March 5, 2021

2019 journal article

Harmonic Performance of Mixer-First Receivers With Circulant-Symmetric Basebands

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, 66(1), 161–174.

By: C. Wilson n & B. Floyd n

Contributors: C. Wilson n & B. Floyd n

TL;DR: It is shown that the harmonic input admittance of the mixer-first receiver is related to the discrete Fourier transform of the baseband circulant, which allows control of the harmonic impedance response across frequencies. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: December 31, 2018

2018 conference paper

A 24–44 GHz UWB LNA for 5G Cellular Frequency Bands

2018 11th Global Symposium on Millimeter Waves (GSMM). Presented at the 2018 11th Global Symposium on Millimeter Waves (GSMM).

By: V. Chauhan n & B. Floyd n

Contributors: V. Chauhan n & B. Floyd n

Event: 2018 11th Global Symposium on Millimeter Waves (GSMM)

TL;DR: This paper presents a 24–44 GHz ultra-wideband (UWB) low-noise amplifier (LNA) simultaneously covering all major 5G cellular frequency bands and a narrowband 28 GHz LNA is presented for comparison and evaluation of merits of a wideband design. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2018 journal article

Built-In Test of Phased Arrays Using Code-Modulated Interferometry

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, 66(5), 2463–2479.

By: K. Greene n, V. Chauhan n & B. Floyd n

Contributors: K. Greene n, V. Chauhan n & B. Floyd n

author keywords: Built-in self-test (BIST); calibration; code-modulated interferometry (CMI); millimeter wave (mm-wave); phased arrays
TL;DR: Comparisons between the built-in test technique and measurements using a vector network analyzer show that CoMET can be used to extract amplitude with 1 dB accuracy and phase with four degree accuracy, and measurements confirm that Co MET can beused to extract the phase-step response of each element in parallel across all settings. (via Semantic Scholar)
UN Sustainable Development Goal Categories
9. Industry, Innovation and Infrastructure (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2018 conference paper

High-performance millimeter-wave beamformers with built-in self-test

2018 IEEE Custom Integrated Circuits Conference, CICC 2018, 1–68.

By: B. Floyd*

Contributors: B. Floyd*

TL;DR: Phased arrays are the enabling technology for 5G-mmW; however, research is needed to find new architectures having improved performance, reduced cost, and built-in test capabilities. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2018 conference paper

Mixer-First MIMO Receiver with Multi-Port Impedance Tuning for Decoupling of Compact Antenna Systems

2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2018-June, 112–115.

By: C. Wilson n, J. Dean n & B. Floyd n

Contributors: C. Wilson n, J. Dean n & B. Floyd n

Event: 2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC)

TL;DR: Measurements show that N-path decoupling improves signal-to-noise ratio by 7 dB, and mutual impedance tuning for N- path mixer-first MIMO receivers, useful for dynamic decouplings of coupled-antenna systems. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2017 journal article

A 28-GHz Harmonic-Tuned Power Amplifier in 130-nm SiGe BiCMOS

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, 65(2), 522–535.

By: A. Sarkar n & B. Floyd n

Contributors: A. Sarkar n & B. Floyd n

author keywords: Continuous mode; fifth-generation (5G); harmonic matching network design; millimeter-wave (mm-wave); power amplifier (PA); SiGe
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2017 article

A 28-GHz Phased-Array Receiver Front End With Dual-Vector Distributed Beamforming

Yeh, Y.-S., Walker, B., Balboni, E., & Floyd, B. (2017, May). IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 52, pp. 1230–1244.

By: Y. Yeh n, B. Walker*, E. Balboni* & B. Floyd n

Contributors: Y. Yeh n, B. Walker*, E. Balboni* & B. Floyd n

author keywords: 28 GHz; basestation; beamforming; beamsteering; dual-vector; fifth-generation (5G); integrated circuits; millimeter-wave; phase shifter; phased array; receiver; SiGe BiCMOS; Wilkinson power combiner
TL;DR: This paper presents a 28-GHz four-channel phased-array receiver in 130-nm SiGe BiCMOS technology for fifth-generation cellular application that employs scalar-only weighting functions within each receive path and then global quadrature power combining to realize beamforming. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2017 journal article

A 28-GHz SiGe BiCMOS PA With 32% Efficiency and 23-dBm Output Power

IEEE JOURNAL OF SOLID-STATE CIRCUITS, 52(6), 1680–1686.

By: A. Sarkar n, F. Aryanfar* & B. Floyd n

Contributors: A. Sarkar n, F. Aryanfar* & B. Floyd n

author keywords: 28 GHz; fifth generation (5G); power amplifier (PA); power combining; SiGe; transformer
TL;DR: This paper presents a two-stage, four-way combined power amplifier operating in the 27–31-GHz frequency range in 180-nm SiGe BiCMOS technology and presents a co-optimization technique for the transformer and the adjoining matching components to minimize the power loss of the full output network. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2017 conference paper

A 28-GHz phased-array transceiver with series-fed dual-vector distributed beamforming

2017 ieee radio frequency integrated circuits symposium (rfic), 65–68.

By: Y. Yeh n, E. Balboni* & B. Floyd n

Contributors: Y. Yeh n, E. Balboni* & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2017 journal article

A 60-GHz Dual-Vector Doherty Beamformer

IEEE JOURNAL OF SOLID-STATE CIRCUITS, 52(5), 1373–1387.

By: K. Greene n, A. Sarkar n & B. Floyd n

Contributors: K. Greene n, A. Sarkar n & B. Floyd n

author keywords: 60 GHz; millimeter-wave; phased array; transmitter; Doherty amplifier; phase shifter
TL;DR: A 60-GHz transmit beamformer implemented in 130-nm SiGe BiCMOS technology which includes a Doherty amplifier driven by a dual-vector phase rotator (DVR) allows optimization of both linearity and efficiency profiles across frequency. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2017 journal article

A 76- to 81-GHz Multi-Channel Radar Transceiver

IEEE Journal of Solid-State Circuits, 52(9), 2226–2241.

By: T. Fujibayashi n, Y. Takeda n, W. Wang n, Y. Yeh n, W. Stapelbroek*, S. Takeuchi*, B. Floyd n

Contributors: T. Fujibayashi n, Y. Takeda n, W. Wang n, Y. Yeh n, W. Stapelbroek*, S. Takeuchi*, B. Floyd n

author keywords: 77 GHz; built-in self-test (BIST); radar; SiGe; transceiver; W-band
TL;DR: This paper presents a packaged 76- to 81-GHz transceiver chip implemented in SiGe BiCMOS for both long-range and short-range automotive radars and integrated BIST circuits enable the measurement of signal power, RX gain, channel-to-channel phase, and internal temperature. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, Crossref, NC State University Libraries, ORCID
Added: August 6, 2018

2017 conference paper

A Tunable reflection-mode N-path filter Using 45-nm SOI CMOS

2017 ieee mtt-s international microwave symposium (ims), 1671–1674.

By: J. Bonner-Stewart n, C. Wilson n & B. Floyd n

Contributors: J. Bonner-Stewart n, C. Wilson n & B. Floyd n

Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2017 conference paper

Toward efficient, reconfigurable, and compact beamforming for 5g millimeter-wave systems

2017 ieee bipolar/bicmos circuits and technology meeting (bctm), 2017-October, 66–73.

By: B. Floyd n, A. Sarkar n, K. Greene n & Y. Yeh n

Contributors: B. Floyd n, A. Sarkar n, K. Greene n & Y. Yeh n

TL;DR: A compact architecture for beamformers which employs dual-vector scaling functions within each element and then global combining and interpolation and demonstrated with a 28-GHz dual- vector receiver array in SiGe which requires only 0.3 mm2 for each receiver front-end. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2016 conference paper

20-30 GHz mixer-first receiver in 45-nm SOI CMOS

2016 ieee radio frequency integrated circuits symposium (rfic), 2016-July, 344–347.

By: C. Wilson n & B. Floyd n

Contributors: C. Wilson n & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2016 conference paper

A 28-GHz 4-channel dual-vector receiver phased array in SiGe BiCMOS technology

2016 ieee radio frequency integrated circuits symposium (rfic), 2016-July, 352–355.

By: Y. Yeh n, B. Walker*, E. Balboni* & B. Floyd n

Contributors: Y. Yeh n, B. Walker*, E. Balboni* & B. Floyd n

TL;DR: This paper presents a 28-GHz four-channel phased-array receiver in 120-nm SiGe BiCMOS technology for 5G cellular application that employs scalar-only weighting functions within each front-end and then global quadrature power combining to realize beamforming. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2016 conference paper

A 76-to 81-GHz packaged single-chip transceiver for automotive radar

2016 ieee bipolar/bicmos circuits and technology meeting (bctm), 2016-November, 166–169.

By: T. Fujibayashi n, Y. Takeda n, W. Wang n, Y. Yeh n, W. Stapelbroek*, S. Takeuchi*, B. Floyd n

Contributors: T. Fujibayashi n, Y. Takeda n, W. Wang n, Y. Yeh n, W. Stapelbroek*, S. Takeuchi*, B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2016 conference paper

Code-modulated embedded test for phased arrays

2016 ieee 34th vlsi test symposium (vts), 2016-May.

By: K. Greene n, V. Chauhan n & B. Floyd n

Contributors: K. Greene n, V. Chauhan n & B. Floyd n

TL;DR: This paper will first review phased-array topologies and calibration requirements, then present a code modulated technique for manufacturing test of the array which uses only digital code modulators per element and a single global mm-wave squaring circuit in the form of a power detector. (via Semantic Scholar)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2016 conference paper

Code-modulated interferometric imaging system using phased arrays

In D. A. Wikner & A. R. Luukanen (Eds.), Passive and Active Millimeter-Wave Imaging XIX (Vol. 9830).

By: V. Chauhan n, K. Greene n & B. Floyd n

Contributors: V. Chauhan n, K. Greene n & B. Floyd n

Ed(s): D. Wikner & A. Luukanen

Event: SPIE Defense + Security

author keywords: mm-wave; imaging; interferometry; phased array; orthogonal codes; code modulation; Walsh
TL;DR: Techniques which can allow low-cost phased-array receivers to be reconfigured or re-purposed as interferometric imagers are presented, removing the need for custom hardware and thereby reducing cost. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, Crossref, NC State University Libraries, ORCID
Added: August 6, 2018

2016 chapter

System-on-a-chip mm-wave silicon transmitters

In H. Hashemi & S. Raman (Eds.), mm-Wave Silicon Power Amplifiers and Transmitters (pp. 376–418).

By: B. Floyd n & A. Natarajan*

Ed(s): H. Hashemi & S. Raman

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2015 conference paper

A 55-GHz power-efficient frequency quadrupler with high harmonic rejection in 0.1-mu m SiGe BiCMOS technology

Proceedings of the 2015 ieee radio frequency integrated circuits symposium (rfic 2015), 2015-November, 267–270.

By: Y. Yeh n & B. Floyd n

Contributors: Y. Yeh n & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2015 conference paper

Dual-vector phase rotator for Doherty beamformers

Proceedings of the 2015 ieee radio frequency integrated circuits symposium (rfic 2015), 2015-November, 331–334.

By: K. Greene n & B. Floyd n

Contributors: K. Greene n & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2014 conference paper

A 20GHz VCO and frequency doubler for W-band FMCW radar applications

2014 IEEE 14th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SIRF), 104–106.

By: W. Wang n, Y. Takeda*, Y. Yeh n & B. Floyd n

Contributors: W. Wang n, Y. Takeda*, Y. Yeh n & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2014 conference paper

A 28-GHz class-J power amplifier with 18-dBm output power and 35% peak PAE in 120-nm SiGe BiCMOS

2014 IEEE 14th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SIRF), 71–73.

By: A. Sarkar n & B. Floyd n

Contributors: A. Sarkar n & B. Floyd n

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2014 conference paper

A 76-to 81-GHz transceiver chipset for long-range and short-range automotive radar

2014 ieee mtt-s international microwave symposium (ims).

By: Y. Takeda n, T. Fujibayashi n, Y. Yeh n, W. Wang n & B. Floyd n

Contributors: Y. Takeda n, T. Fujibayashi n, Y. Yeh n, W. Wang n & B. Floyd n

TL;DR: This paper presents a 76- to 81-GHz transceiver chipset implemented in SiGe BiCMOS technology for both long-range and short-range radar applications and includes built-in-self-test features allowing measurement of RF power, gain, and phase. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2014 conference paper

A power-efficient 4-element beamformer in 120-nm SiGe BiCMOS for 28-GHz cellular communications

2014 ieee bipolar/bicmos circuits and technology meeting (bctm), 68–71.

By: A. Sarkar n, K. Greene n & B. Floyd n

Contributors: A. Sarkar n, K. Greene n & B. Floyd n

TL;DR: A 4-element beamformer designed in 120-nm SiGe BiCMOS technology for 28-GHz mobile millimeter-wave broadband system is presented in this paper and shows high gain and high efficiency at both peak and backed-off power levels. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries, ORCID
Added: August 6, 2018

2014 conference paper

Market opportunities and testing challenges for millimeter-wave radios and radars

2014 International Test Conference. Presented at the 2014 IEEE International Test Conference (ITC).

By: B. Floyd n

Event: 2014 IEEE International Test Conference (ITC)

TL;DR: This talk will review application requirements and opportunities for these markets and then highlight the challenges associated with both antenna and package integration and manufacturing test for multi-antenna transceivers operating at millimeter-wave frequencies. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries
Added: March 20, 2019

2013 conference paper

A 60 GHz Doherty power amplifier with 14% PAE at 6-dB back off

Proceedings SRC Techcon Conference.

By: A. Sarkar & B. Floyd

Source: NC State University Libraries
Added: April 11, 2019

2012 conference paper

Power efficient power amplifiers for 60GHz phased array transmitters

Proceedings SRC Techcon Conference.

By: A. Sarkar & B. Floyd

Source: NC State University Libraries
Added: April 11, 2019

2011 article

2011 IMS/RFIC/ARFTG workshops

IEEE Microwave Magazine, Vol. 12, pp. 52–57.

By: J. Qiu, T. Ivanov, M. Nueslein, M. John, G. Augustine, B. Floyd*, A. Wang

Contributors: J. Qiu, T. Ivanov, M. Nueslein, M. John, G. Augustine, B. Floyd*, A. Wang

Source: ORCID
Added: December 10, 2021

2011 journal article

A Fully-Integrated 16-Element Phased-Array Receiver in SiGe BiCMOS for 60-GHz Communications

IEEE Journal of Solid-State Circuits, 46(5), 1059–1075.

By: A. Natarajan*, S. Reynolds*, M. Tsai*, S. Nicolson, J. Zhan*, D. Kam*, D. Liu*, Y. Huang* ...

Contributors: A. Natarajan*, S. Reynolds*, M. Tsai*, S. Nicolson, J. Zhan*, D. Kam*, D. Liu*, Y. Huang*, A. Valdes-Garcia*, B. Floyd n

author keywords: Beamforming; BiCMOS; millimeter-wave; noise; phased array; power combiners; power splitter; receiver; RF-path phase-shifting; SiGe; WiGig; 60 GHz; 802.11 ad; 802.15.3 c
TL;DR: A fully-integrated 16-element 60-GHz phased-array receiver is implemented in IBM 0.12-μm SiGe BiCMOS technology and a detailed analysis of the noise trade-offs in the receiver array design is presented to motivate architectural choices. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 5, 2019

2011 journal article

LTCC Packages With Embedded Phased-Array Antennas for 60 GHz Communications

IEEE Microwave and Wireless Components Letters, 21(3), 142–144.

By: D. Kam*, D. Liu*, A. Natarajan*, S. Reynolds*, H. Chen* & B. Floyd n

Contributors: D. Kam*, D. Liu*, A. Natarajan*, S. Reynolds*, H. Chen* & B. Floyd n

author keywords: Antenna-in-package; millimeter-wave package; phased-array antennas; 60 GHz
TL;DR: A low-cost, fully-integrated antenna-in-package solution for 60 GHz phased-array systems is demonstrated, achieving excellent model-to-hardware correlation and beam-steered, non-line-of-sight links with data rates up to 5.3 Gb/s. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 5, 2019

2011 journal article

Organic Packages With Embedded Phased-Array Antennas for 60-GHz Wireless Chipsets

IEEE Transactions on Components, Packaging and Manufacturing Technology, 1(11), 1806–1814.

By: D. Kam*, D. Liu*, A. Natarajan*, S. Reynolds* & B. Floyd n

Contributors: D. Kam*, D. Liu*, A. Natarajan*, S. Reynolds* & B. Floyd n

author keywords: 60 GHz; antenna-in-package; millimeter-wave package; phased-array antennas
TL;DR: The packaged transmitter and receiver chipsets have demonstrated beam-steered, non-line-of-sight links with data rates up to 5.3 Gb/s using 16-quadrature amplitude modulation single-carrier and orthogonal frequency division multiplexing schemes. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 5, 2019

2011 journal article

Packages With Integrated 60-GHz Aperture-Coupled Patch Antennas

IEEE Transactions on Antennas and Propagation, 59(10), 3607–3616.

By: D. Liu, J. Akkermans*, H. Chen* & B. Floyd n

Contributors: D. Liu, J. Akkermans*, H. Chen* & B. Floyd n

author keywords: 60-GHz antennas; antenna arrays; antenna-in-package; aperture-coupled patch antennas; balanced-fed; fork-fed; high efficiency; wide bandwidth
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 5, 2019

2011 journal article

Single-Element and Phased-Array Transceiver Chipsets for 60-GHz Gb/s Communications

IEEE COMMUNICATIONS MAGAZINE, 49(4), 120–131.

By: A. Valdes-Garcia*, S. Reynolds*, A. Natarajan*, D. Kam*, D. Liu*, J. Lai*, Y. Huang*, P. Chen* ...

Contributors: A. Valdes-Garcia*, S. Reynolds*, A. Natarajan*, D. Kam*, D. Liu*, J. Lai*, Y. Huang*, P. Chen* ...

TL;DR: This article summarizes the development of mature and highly integrated SiGe BiCMOS ICs for gigabit-per-second communications according to the requirements of the IEEE 802.15.3c and 802.11.ad-draft standards. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2010 conference paper

A 16-element phased-array receiver IC for 60-GHz communications in SiGe BiCMOS

2010 IEEE Radio Frequency Integrated Circuits Symposium, 461–464.

By: S. Reynolds*, A. Natarajan*, M. Tsai*, S. Nicolson, J. Zhan*, D. Liu*, D. Kam*, O. Huang* ...

Contributors: S. Reynolds*, A. Natarajan*, M. Tsai*, S. Nicolson, J. Zhan*, D. Liu*, D. Kam*, O. Huang*, A. Valdes-Garcia*, B. Floyd*

Event: 2010 IEEE Radio Frequency Integrated Circuits Symposium

author keywords: Phased-arrays; beam steering; 60 GHz; millimeter-wave; receiver; SiGe
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2010 journal article

A Fully Integrated 16-Element Phased-Array Transmitter in SiGe BiCMOS for 60-GHz Communications

IEEE Journal of Solid-State Circuits, 45(12), 2757–2773.

By: A. Valdes-Garcia*, S. Nicolson, J. Lai*, A. Natarajan*, P. Chen*, S. Reynolds*, J. Zhan*, D. Kam* ...

Contributors: A. Valdes-Garcia*, S. Nicolson, J. Lai*, A. Natarajan*, P. Chen*, S. Reynolds*, J. Zhan*, D. Kam*, D. Liu*, B. Floyd n

author keywords: 60-GHz transmitter; 802153c; millimeter-wave; phased-array; SiGe BiCMOS
TL;DR: A phased-array transmitter for multi-Gb/s non-line-of-sight links in the four frequency channels of the IEEE 802.15.3c standard is presented including the demonstration of spatial power combining up to +40 dBm EIRP and 16-element radiation patterns. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 5, 2019

2010 conference paper

A SiGe BiCMOS 16-element phased-array transmitter for 60GHz communications

2010 IEEE International Solid-State Circuits Conference - (ISSCC), 53, 218–219.

By: A. Valdes-Garcia*, S. Nicolson, J. Lai*, A. Natarajan*, P. Chen*, S. Reynolds*, J. Zhan*, B. Floyd*

Contributors: A. Valdes-Garcia*, S. Nicolson, J. Lai*, A. Natarajan*, P. Chen*, S. Reynolds*, J. Zhan*, B. Floyd*

Event: 2010 IEEE International Solid- State Circuits Conference - (ISSCC)

TL;DR: This work presents a fully-integrated phased-array Tx which supports multi-Gb/s NLOS IEEE 802.15.3c links and has the following major features: an on-chip power sensor at each element, 3 temperature sensors, LO leakage and I/Q phase and amplitude adjustment, front-end OP1dB programmability, and an integrated modulator for pi/2-BPSK/MSK signaling. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2010 conference paper

An LTCC superstrate patch antenna for 60-GHz package applications

2010 IEEE Antennas and Propagation Society International Symposium. Presented at the 2010 IEEE International Symposium Antennas and Propagation and CNC-USNC/URSI Radio Science Meeting.

By: D. Liu*, H. Chen* & B. Floyd*

Contributors: D. Liu*, H. Chen* & B. Floyd*

Event: 2010 IEEE International Symposium Antennas and Propagation and CNC-USNC/URSI Radio Science Meeting

TL;DR: In [7], an aperture-coupled superstrate patch antenna with an embedded air cavity and an open cavity for antenna evaluation (possibly also for holding an RFIC chip) is proposed. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2010 conference paper

Low-cost antenna-in-package solutions for 60-GHz phased-array systems

19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems, 93–96.

By: D. Kam*, D. Liu*, A. Natarajan*, S. Reynolds* & B. Floyd n

Contributors: D. Kam*, D. Liu*, A. Natarajan*, S. Reynolds* & B. Floyd n

Event: 2010 IEEE 19th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS)

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2010 conference paper

Microstrip to CPW transitions for package applications

2010 IEEE Antennas and Propagation Society International Symposium. Presented at the 2010 IEEE International Symposium Antennas and Propagation and CNC-USNC/URSI Radio Science Meeting.

By: D. Liu* & B. Floyd*

Contributors: D. Liu* & B. Floyd*

Event: 2010 IEEE International Symposium Antennas and Propagation and CNC-USNC/URSI Radio Science Meeting

TL;DR: Microstrip transmission lines are widely used at RF, microwave and millimeter-wave frequencies in monolithic integrated-circuits, printed circuit boards, and planar antennas, and to accurately evaluate these antennas at mmWave frequencies, MS-to-CPW transitions are required. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2010 conference paper

Silicon millimeter-wave radios for 60 GHz and beyond

Proceedings of 2010 International Symposium on VLSI Technology, System and Application, 12–13.

By: B. Floyd*, A. Valdes Garcia*, S. Reynolds*, A. Natarajan*, D. Liu*, B. Gaucher*, D. Nakano*, Y. Katayama*

Contributors: B. Floyd*, A. Valdes Garcia*, S. Reynolds*, A. Natarajan*, D. Liu*, B. Gaucher*, D. Nakano*, Y. Katayama*

Event: 2010 International Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA 2010)

TL;DR: An overview of a 60 GHz transceiver chipset implemented in 0.12 μm SiGe BiCMOS technology, prototype 60 GHz antennas and packages developed for that chipset, and a 60GHz phased-array receiver front-end which achieves full spatial coverage with reduced phase-shifter requirements is provided. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2009 conference paper

60GHz RF-path phase-shifting two-element phased-array front-end in silicon

Symposium on VLSI Technology Digital Technology Papers, 250–251. http://www.scopus.com/inward/record.url?eid=2-s2.0-70449427639&partnerID=MN8TOARS

By: A. Natarajan, M. Tsai & B. Floyd

Contributors: A. Natarajan, M. Tsai & B. Floyd

Sources: NC State University Libraries, ORCID
Added: April 17, 2019

2009 conference paper

A superstrate patch antenna for 60-GHz applications

European Conference on Antennas and Propagation, EuCAP 2009, Proceedings, 2592–2594. http://www.scopus.com/inward/record.url?eid=2-s2.0-70349854864&partnerID=MN8TOARS

By: D. Liu, I. Akkermans & B. Floyd

Contributors: D. Liu, J. Akkermans & B. Floyd

Event: 3rd European Conference on Antennas and Propagation

Sources: NC State University Libraries, ORCID
Added: April 11, 2019

2009 chapter

Millimeter-Wave System Overview

In Advanced Millimeter-Wave Technologies (pp. 709–727).

By: S. Reynolds*, A. Valdes-Garcia*, B. Floyd*, Y. Katayama* & A. Natarajan*

Contributors: S. Reynolds*, A. Valdes-Garcia*, B. Floyd*, Y. Katayama* & A. Natarajan*

Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2008 journal article

A 16–18.8-GHz Sub-Integer-N Frequency Synthesizer for 60-GHz Transceivers

IEEE Journal of Solid-State Circuits, 43(5), 1076–1086.

By: B. Floyd*

Contributors: B. Floyd*

author keywords: frequency synthesizer; millimeter-wave; 18 GHz; phase rotator; phase-switched divider; 60 GHz; sub-integer divider; voltage-controlled oscillator
TL;DR: An 18-GHz range frequency synthesizer is implemented in 0.13-mum SiGe BiCMOS technology as part of a 60-GHz superheterodyne transceiver chipset, and features a phase-rotating multi-modulus divider capable of sub-integer division. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2008 conference paper

A 60GHz variable-gain LNA in 65nm CMOS

2008 IEEE Asian Solid-State Circuits Conference, 117–120.

By: A. Natarajan*, S. Nicolson*, M. Tsai* & B. Floyd*

Contributors: A. Natarajan*, S. Nicolson*, M. Tsai* & B. Floyd*

Event: 2008 IEEE Asian Solid-State Circuits Conference (A-SSCC)

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2008 chapter

Amplifiers and Mixers

In Series on Integrated Circuits and Systems (pp. 109–157).

By: A. Niknejad*, S. Emami*, B. Heydari*, E. Adabi*, B. Afshar* & B. Floyd*

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 20, 2019

2008 journal article

Sub-Integer Frequency Synthesis Using Phase-Rotating Frequency Dividers

IEEE Transactions on Circuits and Systems I: Regular Papers, 55(7), 1823–1833.

By: B. Floyd*

Contributors: B. Floyd*

author keywords: frequency conversion; frequency synthesizers; phase interpolation; phase-switched divider
TL;DR: A generalized architecture and theory for realizing multimodulus, sub-integer frequency division is developed by extending the phase-switched divider technique, and it is shown that very low fractional spurs at the output of the divider can be attained with reasonably accurate phase rotators. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2007 conference paper

2-Gbps Uncompressed HDTV Transmission over 60-GHz SiGe Radio Link

2007 4th IEEE Consumer Communications and Networking Conference, 12–16.

By: Y. Katayama*, C. Haymes*, D. Nakano*, T. Beukema*, B. Floyd*, S. Reynolds*, U. Pfeiffer*, B. Gaucher*, K. Schleupen*

Contributors: Y. Katayama*, C. Haymes*, D. Nakano*, T. Beukema*, B. Floyd*, S. Reynolds*, U. Pfeiffer*, B. Gaucher*, K. Schleupen*

Event: 2007 4th IEEE Consumer Communications and Networking Conference

TL;DR: In order to take care of more frequent sync/burst errors in high-data-rate single-carrier approaches, the baseband is equipped with effi- cient random/packet error recovery and symbol-timing recovery with an effective interpolation method. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2007 conference paper

A 15 to 18-GHz Programmable Sub-Integer Frequency Synthesizer for a 60-GHz Transceiver

2007 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 529–532.

By: B. Floyd*

Contributors: B. Floyd*

Event: 2007 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium

author keywords: frequency conversion; frequency synthesizers; phase interpolation; phase-switched divider
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2007 conference paper

A Bidirectional RF-Combining 60GHz Phased-Array Front-End

2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, 202–204.

By: A. Natarajan*, B. Floyd* & A. Hajimiri*

Contributors: A. Natarajan*, B. Floyd* & A. Hajimiri*

Event: 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers

TL;DR: A 60GHz RF-combining phased-array front-end is implemented in silicon using a hybrid parallel/series phase-shift approach that reduces the requirements of the on-chip phase shifters. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2007 conference paper

Second Generation 60-GHz Transceiver Chipset Supporting Multiple Modulations at Gb/s data rates (Invited)

2007 IEEE Bipolar/BiCMOS Circuits and Technology Meeting, 192–197.

By: S. Reynolds*, A. Valdes-Garcia*, B. Floyd*, T. Beukema*, B. Gaucher*, D. Liu*, N. Hoivik*, B. Orner*

Contributors: S. Reynolds*, A. Valdes-Garcia*, B. Floyd*, T. Beukema*, B. Gaucher*, D. Liu*, N. Hoivik*, B. Orner*

Event: 2007 IEEE Bipolar/BiCMOS Circuits and Technology Meeting

TL;DR: A feature-rich second-generation 60-GHz transceiver chipset is introduced that integrates dual-conversion superheterodyne receiver and transmitter chains, a sub-integer frequency synthesizer, full programmability from a digital interface, modulator and demodulator circuits to support analog modulations, and a universal I&Q interface for digital modulation formats. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2007 conference paper

SiGe vs. CMOS for 60-100 GHz: technology, circuits, packages, and systems

Government Microcircuit Applications Conference Digital Papers, 31–34.

By: B. Floyd, B. Gaucher, S. Reynolds, A. Valdes-Garcia, U. Pfeiffer, D. Liu, J. Grzyb, N. Hoivik, B. Jagannathan

Source: NC State University Libraries
Added: April 11, 2019

2007 conference paper

Silicon Millimeter-Wave Radio Circuits at 60-100 GHz

2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, 213–218.

By: B. Floyd*, U. Pfeiffer*, S. Reynolds*, A. Valdes-Garcia*, C. Haymes*, Y. Katayama*, D. Nakano*, T. Beukema* ...

Contributors: B. Floyd*, U. Pfeiffer*, S. Reynolds*, A. Valdes-Garcia*, C. Haymes*, Y. Katayama*, D. Nakano*, T. Beukema*, B. Gaucher, M. Soyuer*

Event: 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems

author keywords: 60 GHz; millimeter wave bipolar integrated circuits; SiGe; V band
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 conference paper

A 60GHz Radio Chipset Fully-Integrated in a Low-Cost Packaging Technology

56th Electronic Components and Technology Conference 2006, 2006, 1343–1346.

By: U. Pfeiffer*, J. Grzyb*, D. Liu*, B. Gaucher*, T. Beukema*, B. Floyd*, S. Reynolds*

Contributors: U. Pfeiffer*, J. Gizyb, D. Liu*, B. Gaucher*, T. Beukema*, B. Floyd*, S. Reynolds*

Event: 56th Electronic Components and Technology Conference 2006

Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 journal article

A Silicon 60-GHz Receiver and Transmitter Chipset for Broadband Communications

IEEE Journal of Solid-State Circuits, 41(12), 2820–2831.

By: S. Reynolds*, B. Floyd*, U. Pfeiffer*, T. Beukema*, J. Grzyb*, C. Haymes*, B. Gaucher*, M. Soyuer*

Contributors: S. Reynolds*, B. Floyd*, U. Pfeiffer*, T. Beukema*, J. Grzyb*, C. Haymes*, B. Gaucher*, M. Soyuer*

author keywords: low-noise amplifier; millimeter-wave integrated circuits; mixer; power amplifier; SiGe; superheterodyne receiver; superheterodyne transmitter; V-band; voltage-controlled oscillator (VCO); 60 GHz
TL;DR: A 0.13-mum SiGe BiCMOS double-conversion superheterodyne receiver and transmitter chipset for data communications in the 60-GHz band is presented. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2006 journal article

A chip-scale packaging technology for 60-GHz wireless chipsets

IEEE Transactions on Microwave Theory and Techniques, 54(8), 3387–3397.

By: U. Pfeiffer*, J. Grzyb*, D. Liu*, B. Gaucher*, T. Beukema*, B. Floyd*, S. Reynolds*

Contributors: U. Pfeiffer*, J. Grzyb*, D. Liu*, B. Gaucher*, T. Beukema*, B. Floyd*, S. Reynolds*

author keywords: chip-scale packaging; low cost; millimeter wave; silicon germanium; wireless communication
TL;DR: This paper demonstrates the first fully package-integrated 60-GHz chipset including receive and transmit antennas in a cost-effective plastic package. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2006 conference paper

A silicon 60GHz receiver and transmitter chipset for broadband communications

2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers. Presented at the 2006 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

By: B. Floyd*, S. Reynolds*, U. Pfeiffer, T. Beukema*, J. Grzyb* & C. Haymes*

Contributors: B. Floyd*, S. Reynolds*, U. Pfeiffer, T. Beukema*, J. Grzyb* & C. Haymes*

Event: 2006 IEEE International Solid-State Circuits Conference. Digest of Technical Papers

TL;DR: An integrated SiGe superheterodyne RX/TX pair capable of Gb/s data rates in the 60GHz band is described and achieves 10% PAE in the final stage. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 conference paper

Double-Gate FET Technology for RF Applications: Device Characteristics and Low Noise Amplifier Design

2006 IEEE international SOI Conferencee Proceedings, 75–76.

By: K. Bhatia*, K. Kim*, C. Chuang*, E. Rosenbaum*, J. Plouchart* & B. Floyd*

Contributors: K. Bhatia*, K. Kim*, C. Chuang*, E. Rosenbaum*, J. Plouchart* & B. Floyd*

Event: 2006 IEEE international SOI

TL;DR: Two-dimensional, mixed-mode simulations are used to compare the performance of low noise amplifier (LNA) circuits built using DGFET and bulk CMOS devices. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 conference paper

Millimeter-Wave Lange and Ring-Hybrid Couplers in a Silicon Technology for E-Band Applications

2006 IEEE MTT-S International Microwave Symposium Digest, 1547–1550.

By: M. Chirala* & B. Floyd*

Contributors: M. Chirala* & B. Floyd*

Event: 2006 IEEE MTT-S International Microwave Symposium Digest

author keywords: Lange coupler; ring hybrid; rat-race coupler; phase inverter; silicon distributed passive circuits; millimeter-wave silicon; 60 GHz; 77 GHz; E band; V band; W band
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 conference paper

Progress in SiGe Technology Toward Fully Integrated mmWave ICs

2006 International SiGe Technology and Device Meeting, 2006.

By: B. Gaucher*, S. Reynolds*, B. Floyd*, U. Pfeiffer*, T. Beukema*, A. Joseph, E. Mina, B. Orner ...

Contributors: B. Gaucher*, S. Reynolds*, B. Floyd*, U. Pfeiffer*, T. Beukema*, A. Joseph, E. Mina, B. Orner, R. Wachnik*, K. Walter*

Event: 2006 International SiGe Technology and Device Meeting

TL;DR: Newly developed, enhanced technology and enablement features that lead to state of the art mmwave ICs capable of meeting Gbps speeds required of emerging applications are described. (via Semantic Scholar)
UN Sustainable Development Goal Categories
9. Industry, Innovation and Infrastructure (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 conference paper

Progress toward a low-cost millimeter-wave silicon radio

Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005., 2005, 563–570.

By: S. Reynolds*, B. Floyd*, U. Pfeiffer*, T. Beukema*, T. Zwick*, J. Grzyb*, D. Liu*, B. Gaucher*

Contributors: S. Reynolds*, B. Floyd*, U. Pfeiffer*, T. Beukema*, T. Zwick*, J. Grzyb*, D. Liu*, B. Gaucher*

Event: IEEE 2005 Custom Integrated Circuits Conference, 2005.

TL;DR: The circuits, packaging, and antennas needed to realize a low-cost millimeter-wave transceiver with integrated antenna in silicon technology are discussed and a packaging approach involving a Si IC and a planar antenna in the same package is described. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2006 conference paper

RF CMOS for microwave and MM-wave applications

Digest of Papers. 2005 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, 2005., 2006, 259–264.

By: B. Jagannathan, R. Groves, D. Goren*, B. Floyd*, D. Greenberg*, L. Wagner, S. Csutak, S. Lee ...

Contributors: B. Jagannathan, R. Groves, D. Goren*, B. Floyd*, D. Greenberg*, L. Wagner, S. Csutak, S. Lee, D. Coolbaugh, J. Pekarik

Event: Digest of Papers. 2005 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, 2005.

Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 conference paper

SiGe ICs for gigabit wireless transmission

Floyd, B., & Gaucher, B. (2006, August). Presented at the IEEE Lester Eastman Conference on High Performance Devices.

By: B. Floyd & B. Gaucher

Event: IEEE Lester Eastman Conference on High Performance Devices

Source: NC State University Libraries
Added: April 11, 2019

2006 conference paper

Silicon Integrated Circuits Incorporating Antennas

IEEE Custom Integrated Circuits Conference 2006, 473–480.

By: K. O*, K. Kim*, B. Floyd, J. Mehta*, H. Yoon*, C. Hung*, D. Bravo*, T. Dickson* ...

Contributors: K. O*, K. Kim*, B. Floyd, J. Mehta*, H. Yoon*, C. Hung*, D. Bravo*, T. Dickson* ...

Event: IEEE Custom Integrated Circuits Conference 2006

TL;DR: This technology can potentially be used for intra and inter-chip interconnection, and implementation of true single chip radios, beacons, radars, RFID tags and others, as well as contact-less high frequency testing. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 20, 2019

2006 conference paper

Silicon germanium based millimeter wave IC’s for Gbps wireless communication and radar systems

Government Microcircuit Applications Conference Digital Papers.

By: B. Gaucher, B. Floyd, S. Reynolds, U. Pfeiffer, A. Joseph, E. Mina, B. Orner, R. Wachnik, K. Walters

Source: NC State University Libraries
Added: April 11, 2019

2006 journal article

Silicon germanium based millimetre-wave ICs for Gbps wireless communications and radar systems

Semiconductor Science and Technology, 22(1), S236–S243.

By: B. Gaucher*, B. Floyd*, S. Reynolds*, U. Pfeiffer*, J. Grzyb*, A. Joseph, E. Mina, B. Orner ...

Contributors: B. Gaucher*, B. Floyd*, S. Reynolds*, U. Pfeiffer*, J. Grzyb*, A. Joseph, E. Mina, B. Orner ...

TL;DR: The viability and suitability of silicon germanium (SiGe8HP) technology, enablement tools and circuits to millimetre-wave applications today and a roadmap to the future are established. (via Semantic Scholar)
Sources: ORCID, Crossref, NC State University Libraries
Added: March 18, 2019

2005 journal article

10+ gb/s 90-nm CMOS serial link demo in CBGA package

IEEE Journal of Solid-State Circuits, 40(9), 1987–1991.

By: S. Rylov*, S. Reynolds*, D. Storaska*, B. Floyd*, M. Kapur*, T. Zwick*, S. Gowda*, M. Sorna*

Contributors: S. Rylov*, S. Reynolds*, D. Storaska*, B. Floyd*, M. Kapur*, T. Zwick*, S. Gowda*, M. Sorna*

author keywords: CBGA package; channel equalization; CMOS; feedforward equalizer; high-speed serial links; receiver; transmitter
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2005 journal article

Design and Compliance Testing of a SiGe WCDMA Receiver IC With Integrated Analog Baseband

Proceedings of the IEEE, 93(9), 1624–1636.

By: S. Reynolds*, B. Floyd*, T. Beukema*, T. Zwick* & U. Pfeiffer*

Contributors: S. Reynolds*, B. Floyd*, T. Beukema*, T. Zwick* & U. Pfeiffer*

author keywords: BiCMOS; code division multiaccess; direct conversion; land mobile radio cellular systems; low-noise amplifiers (LNAs); mixers; receivers; SiGe
TL;DR: A 2.7-3.3 V 32-mA SiGe direct-conversion wide-band code division multiple access (WCDMA) receiver IC integrating the RF front-end and analog baseband on a single chip has been completed and measured. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2005 conference paper

MM-wave transceivers using SiGe HBT technology

Digest of Papers. 2004 Topical Meeting onSilicon Monolithic Integrated Circuits in RF Systems, 2004. Presented at the Digest of Papers. 2004 Topical Meeting onSilicon Monolithic Integrated Circuits in RF Systems, 2004.

By: B. Gaucher*, T. Beukema*, S. Reynolds*, B. Floyd*, T. Zwick*, U. Pfeiffer*, D. Liu*, J. Cressler*

Event: Digest of Papers. 2004 Topical Meeting onSilicon Monolithic Integrated Circuits in RF Systems, 2004.

TL;DR: A low-power, integrated 60 GHz transceiver opens up the potential for economical high-speed wireless systems which can take advantage of >5 GHz of unlicensed spectrum available in the 60 GHz ISM band. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2005 journal article

On-Chip Antennas in Silicon ICs and Their Application

IEEE Transactions on Electron Devices, 52(7), 1312–1323.

By: K. O*, K. Kim, B. Floyd*, J. Mehta*, H. Yoon, C. Hung*, D. Bravo*, T. Dickson* ...

Contributors: K. Kenneth, K. Kim*, B. Floyd*, J. Mehta*, H. Yoon, C. Hung*, D. Bravo*, T. Dickson* ...

author keywords: BiCMOS; clock distribution; CMOS; data communication; on-chip antenna; silicon; wireless
TL;DR: The feasibility of integrating antennas and required circuits to form wireless interconnects in foundry digital CMOS technologies has been demonstrated and the key challenges including the effects of metal structures associated with integrated circuits, heat removal, packaging, and interaction between transmitted and received signals, and nearby circuits appear to be manageable. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2005 journal article

SiGe bipolar transceiver circuits operating at 60 GHz

IEEE Journal of Solid-State Circuits, 40(1), 156–167.

By: B. Floyd*, S. Reynolds*, U. Pfeiffer*, T. Zwick*, T. Beukema* & B. Gaucher*

Contributors: B. Floyd*, S. Reynolds*, U. Pfeiffer*, T. Zwick*, T. Beukema* & B. Gaucher*

author keywords: direct-conversion receiver; low-noise amplifier (LNA); millimeter-wave bipolar integrated circuits; mixer; power amplifier; SiGe; V-band; voltage-controlled oscillator (VCO); 60 GHz
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2005 conference paper

SiGe transformer matched power amplifier for operation at millimeter-wave frequencies

Proceedings of the 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005., 141–144.

By: U. Pfeiffer, D. Goren*, B. Floyd* & S. Reynolds

Contributors: U. Pfeiffer, D. Goren*, B. Floyd* & S. Reynolds

Event: 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005.

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2005 conference paper

The feasibility of on-chip interconnection using antennas

IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2005, 976–981.

By: K. O, K. Kim, B. Floyd*, J. Mehta, H. Yoon, C. Hung, D. Bravo, T. Dickson ...

Contributors: K. O, K. Kim, B. Floyd*, J. Mehta, H. Yoon, C. Hung, D. Bravo, T. Dickson ...

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2005 conference paper

The feasibility of on-chip interconnection using antennas

O, K. K., Kim, K., & Floyd, B. (2005, November). 979–984.

By: K. O, K. Kim & B. Floyd

Event: IEEE/ACM International Conference on Computer-Aided Design

Source: NC State University Libraries
Added: April 11, 2019

2005 journal article

WCDMA direct-conversion receiver front-end comparison in RF-CMOS and SiGe BiCMOS

IEEE Transactions on Microwave Theory and Techniques, 53(4), 1181–1188.

By: B. Floyd*, S. Reynolds*, T. Zwick*, L. Khuon*, T. Beukema* & U. Pfeiffer*

Contributors: B. Floyd*, S. Reynolds*, T. Zwick*, L. Khuon*, T. Beukema* & U. Pfeiffer*

author keywords: BiCMOS; direct-conversion receiver; low-noise amplifier (LNA); local oscillator (LO) buffer; mixer; RF CMOS; SiGe; technology assessment; wide-band code-division multiple access (WCDMA)
TL;DR: Wide-band code-division multiple-access direct-conversion receiver front-ends have been implemented in both 0.25-/spl mu/m RF-CMOS and SiGe BiCMOS technologies, allowing relevant comparisons to be made. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2004 conference paper

10+ Gb/s 90nm CMOS serial link demo in CBGA package

Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571), 27–30.

By: S. Rylov*, S. Reynolds*, D. Storaska*, B. Floyd*, M. Kapur*, T. Zwick*, S. Gowda*, M. Sorna

Contributors: S. Rylov*, S. Reynolds*, D. Storaska*, B. Floyd*, M. Kapur*, T. Zwick*, S. Gowda*, M. Sorna

Event: Proceedings of the IEEE 2004 Custom Integrated Circuits Conference

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2004 conference paper

60GHz transceiver circuits in SiGe bipolar technology

2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519), 47.

By: S. Reynolds*, B. Floyd*, U. Pfeiffer* & T. Zwick*

Contributors: S. Reynolds*, B. Floyd*, U. Pfeiffer* & T. Zwick*

Event: 2004 IEEE International Solid-State Circuits Conference

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2004 conference paper

A 77 GHz SiGe power amplifier for potential applications in automotive radar systems

2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems. Digest of Papers, 91–94.

By: U. Pfeiffer*, S. Reynolds* & B. Floyd*

Contributors: U. Pfeiffer*, S. Reynolds* & B. Floyd*

Event: 2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems. Digest of Papers

author keywords: power amplifier; W-band; 77 GHz; automotive radar
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2004 conference paper

Low-noise amplifier comparison at 2 GHz in 0.25-μm and 0.18-μm RF-CMOS and SiGe BiCMOS

2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems. Digest of Papers, 185–188.

By: B. Floyd* & D. Ozis*

Contributors: B. Floyd* & D. Ozis*

Event: 2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems. Digest of Papers

author keywords: BiCMOS analog integrated circuits; bipolar transistor amplifiers; CMOS analog integrated circuits; microwave FET amplifiers; technology assessment
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2004 conference paper

MM-wave transceivers using SiGe HBT technology

2004 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems: Digest of Papers, 81–84. http://www.scopus.com/inward/record.url?eid=2-s2.0-20344405688&partnerID=MN8TOARS

By: B. Gaucher, T. Beukema, S. Reynolds, B. Floyd, T. Zwick, U. Pfeiffer, D. Liu, J. Cressler

Contributors: B. Gaucher, T. Beukema, S. Reynolds, B. Floyd, T. Zwick, U. Pfeiffer, D. Liu, J. Cressler

Source: ORCID
Added: December 10, 2021

2004 journal article

Proton radiation response of monolithic Millimeter-wave transceiver building blocks implemented in 200 GHz SiGe technology

IEEE Transactions on Nuclear Science, 51(6), 3781–3787.

By: W. Kuo*, Y. Lu, B. Floyd*, B. Haugerud*, A. Sutton*, R. Krithivasan*, J. Cressler*, B. Gaucher* ...

Contributors: W. Kuo*, Y. Lu, B. Floyd*, B. Haugerud*, A. Sutton*, R. Krithivasan*, J. Cressler*, B. Gaucher* ...

author keywords: heterojunction bipolar transistor (HBT); low-noise amplifier (LNA); millimeter-wave; proton irradiation; silicon-germanium (SiGe); transceiver; voltage-controlled oscillator (VCO)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2004 conference paper

V-band and W-band SiGe bipolar low-noise amplifiers and voltage-controlled oscillators

2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems. Digest of Papers, 295–298.

By: B. Floyd*

Contributors: B. Floyd*

Event: 2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems. Digest of Papers

author keywords: bipolar integrated circuits; bipolar transistor amplifiers; millimeter wave amplifiers; millimeter wave oscillators; MMICs; voltage controlled oscillators
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2004 chapter

Wireless Design: A Direct Conversion Receiver IC for WCDMA Mobile Systems

In Silicon germanium: technology, modeling, and design (2nd ed., Vol. 4, pp. 271–295). Piscataway, NJ : Hoboken, NJ: IEEE Press ; Wiley-Interscience.

By: S. Reynolds, B. Floyd, T. Beukema, T. Zwick, U. Pfeiffer & H. Ainspan

Source: NC State University Libraries
Added: March 18, 2019

2004 conference paper

Wireless communications using integrated antennas

Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695), 111–113.

By: K. O*, K. Kim*, B. Floyd, J. Mehta*, H. Yoon*, C. Hung*, D. Bravo*, T. Dickson* ...

Contributors: K. O*, K. Kim*, B. Floyd, J. Mehta*, H. Yoon*, C. Hung*, D. Bravo*, T. Dickson* ...

Event: IEEE International Interconnect Technology Conference

TL;DR: The feasibility of integrating antennas and required circuits to form wireless interconnects in foundry digital CMOS technologies has been demonstrated and the key challenges appear to be manageable. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2003 conference paper

60GHz transceiver circuits in SiGe bipolar technology

Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 47. http://www.scopus.com/inward/record.url?eid=2-s2.0-2442715211&partnerID=MN8TOARS

By: S. Reynolds, B. Floyd, U. Pfeiffer & T. Zwick

Contributors: S. Reynolds, B. Floyd, U. Pfeiffer & T. Zwick

Source: ORCID
Added: December 10, 2021

2003 conference paper

A 900-MHz, 0.8-μm CMOS low noise amplifier with 1.2-dB noise figure

Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327). Presented at the IEEE 1999 Custom Integrated Circuits Conference.

By: B. Floyd*, J. Mehta*, C. Gamero* & K. Kenneth*

Event: IEEE 1999 Custom Integrated Circuits Conference

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2003 journal article

A direct-conversion receiver IC for WCDMA mobile systems

IEEE Journal of Solid-State Circuits, 38(9), 1555–1560.

By: S. Reynolds*, B. Floyd*, T. Beukema*, T. Zwick*, U. Pfeiffer* & H. Ainspan*

Contributors: S. Reynolds*, B. Floyd*, T. Beukema*, T. Zwick*, U. Pfeiffer* & H. Ainspan*

author keywords: code division multiaccess; land mobile radio; cellular systems; receivers; mixers; low noise amplifiers (LNAs); BiCMOS; direct conversion
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2003 conference paper

A direct-conversion receiver IC for WCDMA mobile systems

Proceedings of the Bipolar/BiCMOS Circuits and Technology Meeting. Presented at the 2002 IEEE Bipolar/BICMOS Circuits and Technology Meeting.

By: S. Reynolds*, B. Floyd*, T. Beukema*, T. Zwick*, U. Pfeiffer* & H. Ainspan*

Event: 2002 IEEE Bipolar/BICMOS Circuits and Technology Meeting

TL;DR: A prototype design of a 2.7 V, 14.5 mA SiGe direct-conversion receiver IC for use in 3G WCDMA mobile cellular systems has been completed and measured. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2003 journal article

A direct-conversion receiver integrated circuit for WCDMA mobile systems

IBM Journal of Research and Development, 47(2.3), 337–353.

By: S. Reynolds*, B. Floyd*, T. Beukema*, T. Zwick*, U. Pfeiffer* & H. Ainspan*

Contributors: S. Reynolds*, B. Floyd*, T. Beukema*, T. Zwick*, U. Pfeiffer* & H. Ainspan*

TL;DR: The prototype design represents a first step toward a fully integrated monolithic WCDMA/UMTS receiver system-on-a-chip and a rigorous set of performance tests are used to characterize the noise and linearity performance of the packaged IC across its full frequency band of operation. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2003 conference paper

Jitter in a wireless clock distribution system

Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519), 154–156.

By: T. Dickson*, B. Floyd* & K. O

Contributors: T. Dickson*, B. Floyd* & K. O

Event: IEEE 2002 International Interconnect Technology Conference

TL;DR: TheJitter of a transmitted wireless clock signal has been measured and found to behave much like jitter of conventionally distributed clock signals. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2003 conference paper

Propagation layers for intra-chip wireless interconnection compatible with packaging and heat removal

2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303). Presented at the 2002 Symposium on VLSI Technology Digest of Technical Papers.

By: X. Guo*, J. Caserta*, R. Li*, B. Floyd* & K. O

Event: 2002 Symposium on VLSI Technology Digest of Technical Papers

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2003 conference paper

Silicon monolithic broadband millimeter wave radio technology

International Conference on Space Mission Challenges for Information Technology, 113–121.

By: B. Gaucher, T. Beukema, S. Reynolds, B. Floyd, T. Zwick, U. Pfeiffer, D. Liu

Source: NC State University Libraries
Added: April 11, 2019

2003 conference paper

The projected power consumption of a wireless clock distribution system and comparison to conventional distribution systems

Proceedings of the IEEE 1999 International Interconnect Technology Conference (Cat. No.99EX247), 248–250.

By: B. Floyd* & K. O

Contributors: B. Floyd* & K. Kenneth

Event: IEEE 1999 International Interconnect Technology Conference

TL;DR: An analysis comparing the projected power dissipation of a wireless clock distribution system to conventional grid-based and H-tree based distribution systems for 0.1 /spl mu/m generation microprocessors is performed, and the results show that in terms of power Dissipation, the wireless clock Distribution system should be comparable to conventional systems. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2002 conference paper

4 GHz and 13 GHz tuned amplifiers implemented in a 0.1 μm CMOS technology on SOI and SOS substrates

1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156). Presented at the 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC.

By: K. Kim*, Y. Ho*, B. Floyd*, C. Wann*, Y. Taur* & I. Lagnado*

Event: 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC

TL;DR: These 4 GHz and 13 GHz CMOS tuned amplifiers are implemented with partially-depleted silicon on insulator (SOI) and silicon on sapphire (SOS) nMOS transistors with floating bodies and are the first in a CMOS technology to have tuned frequencies greater than 10 GHz. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2002 conference paper

A 15-GHz wireless interconnect implemented in a 0.18-μm CMOS technology using integrated transmitters, receivers, and antennas

2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185). Presented at the 2001 Symposium on VLSI Circuits. Digest of Technical Papers.

By: B. Floyd*, C. Hung* & K. Kenneth*

Event: 2001 Symposium on VLSI Circuits. Digest of Technical Papers

TL;DR: Using a 6-metal, copper 0.18-/spl mu/m CMOS technology, a 15-GHz on-chip wireless interconnect system has been demonstrated and the RF potential ofCMOS technology for >10 GHz is assessed. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2002 journal article

A 23.8-GHz SOI CMOS tuned amplifier

IEEE Transactions on Microwave Theory and Techniques, 50(9), 2193–2196.

By: B. Floyd*, L. Shi*, Y. Taur*, I. Lagnado* & K. O*

Contributors: B. Floyd*, L. Shi*, Y. Taur*, I. Lagnado* & K. O*

author keywords: CMOS; K-band; low-noise amplifier; negative resistance; silicon-on-insulator; SOI; spiral inductor; tuned amplifier
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2002 conference paper

A direct-conversion receiver IC for WCDMA mobile systems

Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting, 61–64. http://www.scopus.com/inward/record.url?eid=2-s2.0-0036437922&partnerID=MN8TOARS

By: S. Reynolds, B. Floyd, T. Beukema, T. Zwick, U. Pfeiffer & H. Ainspan

Contributors: S. Reynolds, B. Floyd, T. Beukema, T. Zwick, U. Pfeiffer & H. Ainspan

Source: ORCID
Added: December 10, 2021

2002 conference paper

A fully integrated 5.35-GHz CMOS VCO and a prescaler

2000 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium Digest of Papers (Cat. No.00CH37096). Presented at the 2000 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium. Digest of Papers.

By: C. Hung*, B. Floyd* & K. O

Event: 2000 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium. Digest of Papers

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2002 conference paper

Estimation of the signal-to-noise ratio for on-chip wireless clock signal distribution (year 2000)

Proceedings of the IEEE 2000 International Interconnect Technology Conference (Cat. No.00EX407), 9–11.

By: D. Bravo*, H. Yoon*, K. Kim*, B. Floyd* & K. O

Contributors: D. Bravo*, H. Yoon*, K. Kim*, B. Floyd* & K. O

Event: IEEE 2000 International Interconnect Technology Conference

TL;DR: The achievable signal-to-noise ratio for an 18-GHz wireless clock distribution system has been estimated by extrapolating from the current status of the clock receiver, the integrated antenna performance, and the understanding of noise sources and coupling mechanisms. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2002 journal article

Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters

IEEE Journal of Solid-State Circuits, 37(5), 543–552.

By: B. Floyd*, C. Hung* & K. O*

Contributors: B. Floyd*, C. Hung* & K. O*

author keywords: 15 GHz; clock distribution; frequency divider; injection locking; integrated antenna; low noise amplifier (LNA); on-chip antenna; RF CMOS; voltage-controlled oscillator (VCO); wireless clock distribution; wireless interconnect; zigzag antenna
TL;DR: This is the first known demonstration of an on-chip clock transmitter with an integrated antenna and the second demonstration of a clock receiver with anIntegrated antenna, where the receiver's frequency and interconnection distance have approximately been doubled over previous results. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2002 conference paper

Propagation layers for intra-chip wireless interconnection compatible with packaging and heat removal

IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 36–37. http://www.scopus.com/inward/record.url?eid=2-s2.0-0036057339&partnerID=MN8TOARS

By: X. Guo, J. Caserta, R. Li, B. Floyd & K. O

Contributors: X. Guo, J. Caserta, R. Li, B. Floyd & K. O

Source: ORCID
Added: December 10, 2021

2002 conference paper

The effects of substrate resistivity on RF component and circuit performance

Proceedings of the IEEE 2000 International Interconnect Technology Conference (Cat. No.00EX407), 164–166.

By: B. Floyd*, C. Hung* & K. O

Contributors: B. Floyd*, C. Hung* & K. O

Event: IEEE 2000 International Interconnect Technology Conference

Sources: Crossref, NC State University Libraries, ORCID
Added: March 22, 2019

2002 conference paper

Wireless Interconnects for Clock Distribution

ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 105–108.

By: B. Floyd*, X. Guo*, J. Caserta*, T. Dickson*, C. Hung*, K. Kim*, K. O*

Contributors: B. Floyd*, X. Guo*, J. Caserta*, T. Dickson*, C. Hung*, K. Kim*, K. O*

TL;DR: A wireless interconnect system for clock distribution which transmits and receives microwave signals across a chip using integrated antennas, receivers, and transmitters is presented. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: December 10, 2021

2002 conference paper

Wireless interconnection in a CMOS IC with integrated antennas

2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056). Presented at the 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

By: B. Floyd*, K. Kim* & O. Kenneth*

Event: 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers

TL;DR: This IC operating at 7.4 GHz, which integrates antennas and necessary receiver circuits in 0.25 /spl mu/m CMOS with five metal layers on p-substrates, is a first step towards realizing wireless clock distribution, proposed as an alternative interconnect system capable of distributing high frequency clock signals at the speed of light using microwaves. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: March 22, 2019

2001 conference paper

A 15-GHz wireless interconnect implemented in a 0.18-μm CMOS technology using integrated transmitters, receivers, and antennas

IEEE Symposium on VLSI Circuits, Digest of Technical Papers, (CIRCUITS SYMP.), 155–158. http://www.scopus.com/inward/record.url?eid=2-s2.0-0034796094&partnerID=MN8TOARS

By: B. Floyd, C. Hung & K. Kenneth

Contributors: B. Floyd, C. Hung & K. Kenneth

Source: ORCID
Added: December 10, 2021

2001 journal article

Fully integrated 5.35-GHz CMOS VCOs and prescalers

IEEE Transactions on Microwave Theory and Techniques, 49(1), 17–22.

By: C. Hung*, B. Floyd*, N. Park* & K. Kenneth

Contributors: C. Hung*, B. Floyd*, N. Park* & K. Kenneth

author keywords: CMOS; injection-locking; MOS capacitor; prescaler; self-oscillation; varactor; voltage-controlled oscillator
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2001 journal article

SOI and bulk CMOS frequency dividers operating above 15 GHz

Electronics Letters, 37(10), 617.

By: B. Floyd*, L. Shi, Y. Taur, I. Lagnado & K. O

Contributors: B. Floyd*, L. Shi, Y. Taur, I. Lagnado & K. O

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2000 conference paper

Fully integrated 5.35-GHz CMOS VCO and a prescaler

Digest of papers - IEEE Radio Frequency Integrated Circuits Symposium, 69–72. http://www.scopus.com/inward/record.url?eid=2-s2.0-0033715978&partnerID=MN8TOARS

By: C. Hung, B. Floyd & K. O

Contributors: C. Hung, B. Floyd & K. O

Source: ORCID
Added: December 10, 2021

2000 conference paper

Wireless interconnection in a CMOS IC with integrated antennas

Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 328–329. http://www.scopus.com/inward/record.url?eid=2-s2.0-0034429612&partnerID=MN8TOARS

By: B. Floyd, K. Kim & K. O

Contributors: B. Floyd, K. Kim & K. O

Source: ORCID
Added: December 10, 2021

1999 conference paper

900-MHz, 0.8-μm CMOS low noise amplifier with 1.2-dB noise figure

Proceedings of the Custom Integrated Circuits Conference, 661–664. http://www.scopus.com/inward/record.url?eid=2-s2.0-0032597705&partnerID=MN8TOARS

By: B. Floyd, J. Mehta, C. Gamero & K. O

Contributors: B. Floyd, J. Mehta, C. Gamero & K. O

Source: ORCID
Added: December 10, 2021

1999 conference paper

Inter and intra-chip wireless clock signal distribution using microwaves: a status of a feasibility study

Government Microcircuit Applications Conference Digital Papers, 306–309.

By: K. O, K. Kim, B. Floyd, J. Mehta & H. Yoon

Source: NC State University Libraries
Added: April 11, 2019

1998 conference paper

4 GHz and 13 GHz tuned amplifiers implemented in a 0.1 μm CMOS technology on SOI and SOS substrates

Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 134–135, 425. http://www.scopus.com/inward/record.url?eid=2-s2.0-0031704599&partnerID=MN8TOARS

By: K. Kim, Y. Ho, B. Floyd, C. Wann, Y. Taur, I. Lagnado, K. O

Contributors: K. Kim, Y. Ho, B. Floyd, C. Wann, Y. Taur, I. Lagnado, K. O

Source: ORCID
Added: December 10, 2021

1998 journal article

4- and 13-GHz tuned amplifiers implemented in a 0.1-μm CMOS technology on SOI, SOS, and bulk substrates

IEEE Journal of Solid-State Circuits, 33(12), 2066–2073.

By: Y. Ho*, K. Kim*, B. Floyd*, C. Wann*, Y. Taur*, I. Lagnado*, K. O

Contributors: Y. Ho*, K. Kim*, B. Floyd*, C. Wann*, Y. Taur*, I. Lagnado*, K. Ok.K.

author keywords: bulk; cascode amplifier; CMOS; low-noise amplifier; tuned amplifier; SOI; SOS
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

1998 conference paper

Tuned amplifiers fabricated in a 0.1-um CMOS technology on bulk, SOI, and SOS substrates

Government Microcircuit Applications Conference Digital Papers, 175–178.

By: K. O, K. Kim, B. Floyd, Y. Ho, C. Hung, C. Wann, Y. Taur, I. Lagnado

Source: NC State University Libraries
Added: April 11, 2019

Citation Index includes data from a number of different sources. If you have questions about the sources of data in the Citation Index or need a set of data which is free to re-distribute, please contact us.

Certain data included herein are derived from the Web of Science© and InCites© (2024) of Clarivate Analytics. All rights reserved. You may not copy or re-distribute this material in whole or in part without the prior written consent of Clarivate Analytics.