Works (74)

Updated: April 22nd, 2024 05:31

2024 journal article

Achieving Forward Progress Guarantee in Small Hardware Transactions

IEEE COMPUTER ARCHITECTURE LETTERS, 23(1), 53–56.

By: M. Nagabhiru n & G. Byrd n

author keywords: Hardware; Programming; Data structures; Coherence; Protocols; Instruction sets; Software; Atomics; compare-and-swap; concurrency; forward progress; hardware transactional memory; multi-word-compare-and-swap; multithreading; non-blocking; lock-free
TL;DR: It is argued that limiting the scope of applications helps HTM attain guaranteed forward progress, and it is shown that NACK-with-backoff performs better than the others without compromising scalability for both read- and write-intensive applications. (via Semantic Scholar)
Sources: ORCID, Web Of Science, NC State University Libraries
Added: March 1, 2024

2023 conference paper

Crosstalk-Based Parameterized Quantum Circuit Approximation

In N. T. Bronn & G. Byrd (Eds.), IEEE International Conference on Quantum Computing and Engineering (pp. 39–50).

By: M. Ibrahim n, N. Bronn & G. Byrd n

Contributors: M. Ibrahim n

Ed(s): N. Bronn & G. Byrd n

TL;DR: This paper proposes an ansatz approximation approach for variational quantum algorithms (VQAs) that uses one of the hardware's main attributes, its crosstalk behavior, as its main approximation driver, and shows superior performance for the circuit-level optimized ansatz over a base ansatz for two quantum chemistry benchmarks. (via Semantic Scholar)
Source: ORCID
Added: February 1, 2024

2023 article

Exploring Architecture of Qiskit Runtime for Educational Enablement

(G. Byrd & N. Earnest-Noble, Eds.). 2023 IEEE INTERNATIONAL CONFERENCE ON QUANTUM COMPUTING AND ENGINEERING, QCE, pp. 112–118.

By: S. Ahmad n, N. Earnest-Noble & G. Byrd n

Contributors: S. Ahmad n & S. Ahmad

Ed(s): G. Byrd n & N. Earnest-Noble

author keywords: quantum education; quantum workforce; IBM Qiskit Runtime; REST APIs
TL;DR: This paper shows how the recent architecture of Qiskit Runtime environment, now accepting QASM strings into REST API calls, starts to bridge the gap between programming languages & quantum computing frameworks. (via Semantic Scholar)
UN Sustainable Development Goal Categories
4. Quality Education (OpenAlex)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: January 8, 2024

2023 article

PreFlush: Lightweight Hardware Prediction Mechanism for Cache Line Flush and Writeback

(J. Tuck & G. Byrd, Eds.). 2023 32ND INTERNATIONAL CONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES, PACT, pp. 74–85.

By: H. Elnawawy n, J. Tuck n & G. Byrd n

Contributors: H. Elnawawy n

Ed(s): J. Tuck n & G. Byrd n

author keywords: Non-Volatile Memory; Cache Architecture
TL;DR: This work proposes PreFlush, a lightweight and transparent hardware mechanism that predicts when a cache line flush or write back is needed and speculatively performs the operation early and can improve performance by up to 25% for the WHISPER NVM benchmark suite and loop-based matrix microbenchmarks. (via Semantic Scholar)
Sources: ORCID, Web Of Science, NC State University Libraries
Added: February 1, 2024

2023 journal article

Quantum Computing: Progress and Innovation

COMPUTER, 56(1), 20–29.

By: G. Byrd n & Y. Ding*

author keywords: Computers; Technological innovation; Quantum system; Quantum computing; Hardware
TL;DR: Recent developments in hardware technology are reviewed, challenges and innovations in quantum systems are outlined, and efforts to cultivate and educate a quantum-ready community are discussed. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: ORCID, Web Of Science, NC State University Libraries
Added: January 7, 2023

2023 article

lfbench: a lock-free microbenchmark suite

2023 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, ISPASS, pp. 322–324.

By: M. Nagabhiru n & G. Byrd n

TL;DR: This work attempts for the first time to bring all the popular lock- free data structures under one roof, primarily to enable development of new WW semantics needed for easy lock-free programming and help evaluate the same. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries
Added: July 31, 2023

2022 conference paper

CAPI-Precis: Towards a Compute-Centric Interface for Coherent Shared Memory Accelerators

2022 International Conference on Field-Programmable Technology (ICFPT).

By: A. Mughrabi n & G. Byrd n

TL;DR: CAPI-Precis is introduced, an abstract layer between CAPI, a cache-coherent interface standard proposed by IBM, and the Accelerator Functional Unit (AFU), which provides a Compute-Centric FIFO-based paradigm with the shared memory accelerator interface, hiding CAPI complexities and latency requirements in an Abstract layer focusing on optimized, efficient, and scalable AFUs. (via Semantic Scholar)
Source: ORCID
Added: February 25, 2023

2022 journal article

Evaluation of Parameterized Quantum Circuits with Cross-Resonance Pulse-Driven Entanglers

IEEE Transactions on Quantum Engineering.

By: M. Ibrahim n, H. Mohammadbagherpoor n, C. Rios n, N. Bronn* & G. Byrd n

TL;DR: This article utilizes pulse-level access to quantum machines, understanding of their two-qubit interactions, and, more importantly, the knowledge of VQAs, to customize the design of two- qubit entanglers. (via Semantic Scholar)
Source: ORCID
Added: December 22, 2022

2022 book

Principles of Superconducting Quantum Computers

In Wiley. Wiley.

By: D. Stancil & G. Byrd

Source: ORCID
Added: May 26, 2022

2022 article

Pulse-Level Optimization of Parameterized Quantum Circuits for Variational Quantum Algorithms

Ibrahim, M., Mohammadbagherpoor, H., Rios, C., Bronn, N. T., & Byrd, G. T. (2022, November 3).

By: M. Ibrahim, H. Mohammadbagherpoor, C. Rios, N. Bronn & G. Byrd*

Contributors: G. Byrd*

Source: ORCID
Added: November 5, 2022

2021 article

QPR: Quantizing PageRank with Coherent Shared Memory Accelerators

2021 IEEE 35TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM (IPDPS), pp. 962–972.

By: A. Mughrabi n, M. Ibrahim n & G. Byrd n

Contributors: A. Mughrabi n, M. Ibrahim n & G. Byrd n

author keywords: Graphs; PageRank; CAPI; FPGA
TL;DR: This paper introduces a vertex-centric shared-memory accelerator for the PageRank algorithm, optimized for high performance while effectively using coherent caching on the FPGA hardware. (via Semantic Scholar)
Sources: ORCID, Web Of Science, NC State University Libraries
Added: June 30, 2021

2020 conference paper

Quantum Circuits for Dynamic Runtime Assertions in Quantum Computation

ASPLOS '20: Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, 1017–1030.

By: J. Liu n, G. Byrd n & H. Zhou n

Contributors: J. Liu n, G. Byrd n & H. Zhou n

Event: Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems

author keywords: Quantum Computing; Runtime Assertion
Sources: ORCID, Web Of Science, NC State University Libraries
Added: May 8, 2020

2019 conference paper

Diligent TLBs: a mechanism for exploiting heterogeneity in TLB miss behavior

Proceedings of the ACM International Conference on Supercomputing, 195–205.

By: H. Elnawawy n, R. Chowdhury*, A. Awad* & G. Byrd n

Contributors: H. Elnawawy n, R. Chowdhury*, A. Awad* & G. Byrd n

author keywords: Virtual memory; Translation lookaside buffer
TL;DR: Diligent TLBs are proposed, a novel hardware-software co-design for TLBs that identifies delinquent page mappings by tracking their reuse behavior and pinning them in the TLBs to reduce misses and it is shown that Di-TLBs reduce TLB misses by up to 24.93% on average while improving performance on average for a collection of memory-intensive workloads. (via Semantic Scholar)
Source: ORCID
Added: May 8, 2020

2019 journal article

Hybrid Remote Access Protocol

IEEE Computer Architecture Letters, 18(1), 30–33.

By: C. Kumar n, S. Singh n & G. Byrd n

Contributors: C. Kumar n, S. Singh n & G. Byrd n

author keywords: Parallel architectures; multi-core/single-chip multiprocessors; memory hierarchy
TL;DR: This work presents an analysis of a shared memory cache coherence protocol and, based on novel insights from the analysis, advocates direct remote reads/writes at the shared last-level cache for heavily contended data. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2019 article

Programming Quantum Computers: A Primer with IBM Q and D-Wave Exercises

PROCEEDINGS OF THE 24TH SYMPOSIUM ON PRINCIPLES AND PRACTICE OF PARALLEL PROGRAMMING (PPOPP '19), pp. 451–451.

By: F. Mueller n, G. Byrd n & P. Dreher n

author keywords: quantum computing
TL;DR: This tutorial provides a hands-on introduction to quantum computing and will feature the three pillars, architectures, programming, and algorithms/applications of quantum computing. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries
Added: December 11, 2020

2019 journal article

Quantum Circuits for Dynamic Runtime Assertions in Quantum Computation

IEEE Computer Architecture Letters, 18(2), 111–114.

By: H. Zhou n & G. Byrd n

Contributors: H. Zhou n & G. Byrd n

author keywords: Quantum computing; assertions; quantum circuits; debugging; quantum error detection
TL;DR: This paper designs quantum circuits to assert classical states, entanglement, and superposition states through ancilla qubits, which are used to indirectly collect the information of the qubits of interest. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, NC State University Libraries, ORCID, Crossref
Added: September 23, 2019

2017 journal article

Challenge-Based Learning

Computer, 50(7), 13–16.

By: S. Willis*, G. Byrd n & B. Johnson*

Contributors: S. Willis*, G. Byrd n & B. Johnson*

TL;DR: A sampling of challenge-based approaches to education and community outreach are explored in this special issue of Education Week. (via Semantic Scholar)
UN Sustainable Development Goal Categories
4. Quality Education (OpenAlex)
Sources: NC State University Libraries, ORCID, NC State University Libraries
Added: August 6, 2018

2017 article

The Internet of Everything

Snyder, T., & Byrd, G. (2017, June). COMPUTER, Vol. 50, pp. 8–9.

By: T. Snyder* & G. Byrd n

Contributors: T. Snyder* & G. Byrd n

Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2016 article

Computing Tools and Techniques for Emergency Response

Weaver, A. C., Byrd, G., & Bryce, R. (2016, May). COMPUTER, Vol. 49, pp. 16–18.

By: A. Weaver*, G. Byrd n & R. Bryce*

TL;DR: This article includes a sidebar entitled, "Supporting Disaster Volunteers from the Internet," by Dai Sato, which describes the development and use of large-scale online volunteer activities in Japan. (via Semantic Scholar)
UN Sustainable Development Goal Categories
13. Climate Action (OpenAlex)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2016 journal article

Home Sweet Mind-Controlled Home

COMPUTER, 49(5), 98–101.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: Students at Colorado State University built a virtual reality prototype for experimenting with cognitive control of connected household devices. (via Semantic Scholar)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2016 article

IEEE/IBM Watson Student Showcase

Byrd, G. (2016, January). COMPUTER, Vol. 49, pp. 102–104.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: Developed by students at NYU, the Miface project uses IBM Watson's Tone Analyzer module to refine user labels for each expression to enrich the ability of computational agents to understand and generate meaningful nonverbal cues for human interaction. (via Semantic Scholar)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2016 journal article

Immortal Bits: Managing Our Digital Legacies

COMPUTER, 49(3), 100–103.

By: G. Byrd n

Contributors: G. Byrd n

author keywords: Navigation; Security; Registers; Databases; User interfaces; Media; Image color analysis; social media; Student Design Showcase; education; digital legacy; security
TL;DR: An Ulster University student designed a Website to help manage and deliver digital assets after death. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2016 article

Let the Sun Shine

Byrd, G. (2016, July). COMPUTER, Vol. 49, pp. 94–97.

By: G. Byrd n

Contributors: G. Byrd n

author keywords: Clouds; Computers; Distributed databases; Business; World Wide Web; Radiation effects; education; Student Design Showcase; solar energy; green computing; cloud; renewable energy
TL;DR: An inexpensive sensor node and cloud computing infrastructure is designed to collect real-time, localized solar irradiation data that can be used by consumers and utilities to predict the availability of solar-generated electricity and to manage its use. (via Semantic Scholar)
UN Sustainable Development Goal Categories
9. Industry, Innovation and Infrastructure (OpenAlex)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2016 journal article

Seeing Is Understanding

COMPUTER, 49(9), 94–97.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: To help teach object-oriented programming, students at King Abdulaziz University in Saudi Arabia created a self-paced, interactive program that associates code with visual cues to reinforce the concepts of inheritance and polymorphism. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2016 journal article

Tactile Digital Braille Display

COMPUTER, 49(11), 88–90.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: Students at North Carolina State University enhanced Polymer Braille's multiline braille display by adding new interactive features, additional rows of characters, and a mobile-device interface. (via Semantic Scholar)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2015 journal article

21st Century Pong

COMPUTER, 48(10), 80–84.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: Cornell University students built a system that tracks a Ping-Pong ball in real time and keeps score and analyzes a video stream to track the location of the ball and to automatically keep score. (via Semantic Scholar)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2015 journal article

A Little Ingenuity Solves an Elephant-Sized Problem

COMPUTER, 48(4), 74–77.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: A student team from NC State has designed a collar to help control wild elephants that threaten human property and life in Africa and Asia and introduces the new Student Design Showcase column. (via Semantic Scholar)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2015 journal article

Cycling Through Cyberspace

COMPUTER, 48(8), 72–75.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: Students at the University of Brasília set out to build a better exercise bike, and created the Bike-X simulator, a virtual cycling experience designed by engineering students at the Gama Campus. (via Semantic Scholar)
UN Sustainable Development Goal Categories
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2015 article

Spotlighting Student Innovation

Byrd, G. (2015, February). COMPUTER, Vol. 48, pp. 75–76.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: This new column provides a space for undergraduates in computer engineering and science to share their capstone project designs. (via Semantic Scholar)
UN Sustainable Development Goal Categories
9. Industry, Innovation and Infrastructure (OpenAlex)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2015 article

Tracking Cows Wirelessly

Byrd, G. (2015, June). COMPUTER, Vol. 48, pp. 60–63.

By: G. Byrd n

Contributors: G. Byrd n

TL;DR: A student team from NC State designed and built a prototype wireless network to monitor the milking and weighing of cows. (via Semantic Scholar)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2013 journal article

Reducing Migration-Induced Misses In An Over-Subscribed Multiprocessor System

Parallel Processing Letters, 23(01), 1350006.

By: S. Reza* & G. Byrd n

Contributors: S. Reza* & G. Byrd n

author keywords: Process migration; cache; server consolidation; virtualization
TL;DR: It is demonstrated the performance benefit of preserving a portion of L2 cache—in particular, MRU cache lines—and warming the destination L1 cache by prefetching those cache lines under different migration scenarios and observed a 1.5-27% reduction in CPI following a migration. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2013 conference paper

Welcome to ICCD 2013!

2013 IEEE 31st International Conference on Computer Design, ICCD 2013.

Contributors: G. Byrd n, K. Schneider*, N. Chang* & S. Ozev*

TL;DR: The 31st IEEE International Conference on Computer Design covers a broad range of topics at all levels of computer system design, from logic and circuits to architecture and applications, including the tools and processes used for design, test, verification, and security. (via Semantic Scholar)
Source: ORCID
Added: February 15, 2021

2012 conference paper

Reducing Migration-induced Cache Misses

2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 1732–1741.

By: S. Reza n & G. Byrd n

Contributors: S. Reza n & G. Byrd n

author keywords: caches; multiprocessors; process migration
TL;DR: The performance benefit of saving and restoring cached data during migration is demonstrated and an implementation that moves cached data when a thread migrates is described, and the benefits in terms of reduced misses and reduced processor cycles are shown. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2012 conference paper

Welcome to ICCD 2012!

Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, 11–20.

By: S. Tahar, G. Byrd, K. Schneider & P. Bose

Contributors: S. Tahar, G. Byrd, K. Schneider & P. Bose

Source: ORCID
Added: February 15, 2021

2011 conference paper

A Canonical Multicore Architecture for Network Routers

2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems, 134–144.

By: S. Grover n, A. Dhanotia* & G. Byrd n

Contributors: S. Grover n, A. Dhanotia* & G. Byrd n

TL;DR: A canonical multicore architecture for routing protocols, which can be used for future routing processor designs is proposed, which would greatly help in understanding the behavior of BGP, thereby assisting in design and development of next generation network processors. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2011 conference paper

Welcome to ICCD 2011!

Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors.

By: G. Gaydadjiev*, S. Tahar, G. Byrd n & K. Schneider*

Contributors: G. Gaydadjiev*, S. Tahar, G. Byrd n & K. Schneider*

TL;DR: The 29th IEEE International Conference on Computer Design 2011 is being held at the beautiful campus of the University of Massachusetts at Amherst, United States. (via Semantic Scholar)
Source: ORCID
Added: February 15, 2021

2010 conference paper

Analyzing and scaling parallelism for network routing protocols

IEEE International Symposium on Workload Characterization (IISWC'10). Presented at the 2010 IEEE International Symposium on Workload Characterization (IISWC).

By: A. Dhanotia, S. Grover & G. Byrd*

Contributors: A. Dhanotia, S. Grover & G. Byrd*

Event: 2010 IEEE International Symposium on Workload Characterization (IISWC)

TL;DR: This paper develops a scalable multithreaded implementation for BGP and proposes a generic architecture and parallelization methodology which can be applied to all routing protocol implementations to achieve significant performance improvement. (via Semantic Scholar)
Sources: Crossref, ORCID, NC State University Libraries
Added: March 2, 2019

2009 article

Adaptive aggregation tree transformation for energy-efficient query processing in sensor networks

INTERNATIONAL JOURNAL OF SENSOR NETWORKS, Vol. 6, pp. 51–64.

By: M. Chiang n & G. Byrd n

Contributors: M. Chiang n & G. Byrd n

author keywords: aggregation efficiency; query processing; dynamic route selection; overhearing; wireless sensor networks
TL;DR: The Adaptive Aggregation Tree (AAT) is proposed to dynamically transform the structure of the routing tree to improve the efficiency of data aggregation and approaches the cost savings of MST without explicit maintenance of an infrastructure. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2009 conference paper

Extending concurrency of transactional memory programs by using value prediction

Proceedings of the 6th ACM conference on Computing frontiers - CF '09, 11–20.

By: S. Pant n & G. Byrd n

Contributors: S. Pant n & G. Byrd n

TL;DR: The nature of the shared data involved in conflicts for TM systems is looked into, and it is found that most transactions have conflicts around a few shared addresses, and shared-conflicting data is often updated in a predictable manner by different transactions. (via Semantic Scholar)
Sources: NC State University Libraries, ORCID, NC State University Libraries
Added: August 6, 2018

2009 conference paper

Limited early value communication to improve performance of transactional memory

Proceedings of the 23rd international conference on Conference on Supercomputing - ICS '09, 421–429.

By: S. Pant n & G. Byrd n

Contributors: S. Pant n & G. Byrd n

TL;DR: The objective is to find a solution that improves performance without needing significant hardware additions or changes to the coherence protocol, and design a system that uses this knowledge to reduce the hardware for a TM system that tries to avoid conflicts using early value communication. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2008 conference paper

An interaction-based access control model (IBAC) for collaborative services

2008 International Symposium on Collaborative Technologies and Systems, 547–554.

By: M. Altunay*, G. Byrd n, D. Brown n & R. Dean n

Contributors: M. Altunay*, G. Byrd n, D. Brown n & R. Dean n

author keywords: access control; collaboration context; web services; workflow planning
TL;DR: This work proposes a model for representing the collaboration context so that a service can be made aware of the existing interactions and provides an access control model for a service participating in a collaboration. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2008 conference paper

Exploiting producer patterns and L2 cache for timely dependence-based prefetching

2008 IEEE International Conference on Computer Design.

By: C. Lim & G. Byrd*

TL;DR: An architecture that efficiently prefetches for loads whose effective addresses are directly dependent on previously-loaded values is proposed, and it is shown that storing the prefetch table in a partition of the L1 cache outperforms using the L2 cache conventionally. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2008 conference paper

Neighborhood-Aware Density Control in Wireless Sensor Networks

2008 IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (sutc 2008), 122–129.

By: M. Chiang n & G. Byrd n

Contributors: M. Chiang n & G. Byrd n

TL;DR: Simulation experiments demonstrate that NADC alleviates the extremely unbalanced workload and extends the effective network lifetime without significant increase in data delivery latency. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: February 23, 2019

2007 journal article

Zone Repartitioning: A Load‐Balancing Mechanism for Data‐Centric Storage Systems

International Journal of Pervasive Computing and Communications, 2(4), 312–320.

By: M. Chiang n & G. Byrd n

Contributors: M. Chiang n & G. Byrd n

author keywords: Sensor networks; data-centric storage; energy consumption; load balance
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Source: ORCID
Added: February 23, 2019

2006 journal article

Collaboration Policies: Access Control Management in Decentralized Heterogeneous Workflows

Journal of Software, 1(1), 11–22.

By: M. Altunay, D. Brown, G. Byrd* & R. Dean

Contributors: M. Altunay, D. Brown, G. Byrd* & R. Dean

TL;DR: This work provides the necessary tools for promoting multi-party ad-hoc collaborations, and aims to reduce the reluctance and hesitation towards these collaborations by attacking the security risks associated with them. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2006 conference paper

High-throughput sketch update on a low-power stream processor

Proceedings of the 2006 ACM/IEEE symposium on Architecture for networking and communications systems - ANCS '06, 123–132.

By: Y. Lai* & G. Byrd n

Contributors: Y. Lai* & G. Byrd n

TL;DR: This paper explores the implementation of the Count-Min sketch update using Indexed SRF accesses on a SIMD stream processor (Imagine) and finds that the 500-MHz stream processor is capable of supporting sketch update at 10 Gbps throughput for minimum- sized IP packets. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2006 conference paper

Stream-Based Implementation of Hash Functions for Multi-Gigabit Message Authentication Codes

2006 Seventh International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT'06), 150–155.

By: Y. Lai* & G. Byrd n

Contributors: Y. Lai* & G. Byrd n

TL;DR: MMH, a family of almost-universal hash functions for message authentication, is implemented on a SIMD stream processor (Imagine), achieving multi-gigabit-per-second throughput with a collision probability on the order of 2~120. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2005 conference paper

Evaluation of Mutual Trust during Matchmaking

Fifth IEEE International Conference on Peer-to-Peer Computing (P2P'05), 2005, 133–140.

By: M. Altunay n, D. Brown n, G. Byrd n & R. Dean n

Contributors: M. Altunay n, D. Brown n, G. Byrd n & R. Dean n

Event: Fifth IEEE International Conference on Peer-to-Peer Computing (P2P'05)

TL;DR: A new service discovery and matchmaking architecture is introduced, layered on top of Globus MDS3, that integrates mutual trust evaluations into the matchmaking process, and checks trust policies of both grid users and resources without requiring policy disclosures. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 18, 2019

2005 article

Packet processing on a SIMD stream processor

Network Processor Design, pp. 119–144.

By: J. Rai, Y. Lai n & G. Byrd n

Contributors: J. Rai, Y. Lai n & G. Byrd n

TL;DR: The packet processing applications have comparable metrics to that of media applications indicating that this architecture could be as useful for network applications as it is for media applications. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2005 chapter

Trust-Based Secure Workflow Path Construction

In B. Benatallah, F. Casati, & P. Traverso (Eds.), Service-Oriented Computing – ICSOC 2007 (pp. 382–395).

By: M. Altunay n, D. Brown n, G. Byrd n & R. Dean n

Contributors: M. Altunay n, D. Brown n, G. Byrd n & R. Dean n

Ed(s): B. Benatallah, F. Casati & P. Traverso

TL;DR: A workflow management framework that fully integrates trust and security into the workflow planning logic, which considers not only trust relationships between the workflow requestor and individual services, but also trust relationships among the services themselves. (via Semantic Scholar)
Sources: NC State University Libraries, ORCID, NC State University Libraries, Crossref
Added: August 6, 2018

2004 journal article

AES Packet Encryption on a SIMD Stream Architecture

International Journal of Computer Research, (Special Issue on Cryptographic Hardware and Embedded Systems).

By: Y. Lai & G. Byrd

Source: NC State University Libraries
Added: March 22, 2019

2004 conference paper

Dynamic PKI and secure tuplespaces for distributed coalitions

Proceedings DARPA Information Survivability Conference and Exposition. Presented at the DARPA Information Survivability Conference and Exposition.

By: T. Smith*, G. Byrd n, X. Wu*, H. Xin*, K. Thangavelu*, R. Wang*, A. Shah n

Event: DARPA Information Survivability Conference and Exposition

TL;DR: The Yalta project has developed a scalable, reliable application platform for distributed coalitions that combines a reliable, secure tuplespace service, an intrusion-tolerant, threshold-based certification authority, and a scalable certificate revocation and event notification service. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 21, 2019

2004 conference paper

Extending OpenMP to support slipstream execution mode

Proceedings International Parallel and Distributed Processing Symposium, 10.

By: K. Ibrahim n & G. Byrd n

Contributors: K. Ibrahim n & G. Byrd n

Event: International Parallel and Distributed Processing Symposium (IPDPS 2003)

TL;DR: This paper investigates the implementation of an OpenMP compiler that supports slipstream execution mode, a new optimization mechanism for CMP-based distributed shared memory multiprocessors. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 21, 2019

2004 conference paper

Yalta: a dynamic PKI and secure tuplespaces for distributed coalitions

Proceedings DARPA Information Survivability Conference and Exposition. Presented at the DARPA Information Survivability Conference and Exposition.

By: T. Smith* & G. Byrd n

Event: DARPA Information Survivability Conference and Exposition

TL;DR: The Yalta project has developed a scalable, reliable application platform for distributed coalitions that combines a reliable, secure tuplespace service, an intrusion-tolerant, threshold-based certification authority, and a scalable certificate revocation and event notification service. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 21, 2019

2003 article

A Methodology and Simulator for the Study of Network Processors

Network Processor Design, pp. 27–54.

By: D. Suryanarayanan*, J. Marshall* & G. Byrd n

TL;DR: The chapter describes high-level simulator design and details the Toasters network processor and the implementation of the simulator including the cycle-accurate model of the Toaster architecture. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

2003 conference paper

A new introductory laboratory course for electrical and computer engineering

ASEE Annual Conference Proceedings, 11378–11391. http://www.scopus.com/inward/record.url?eid=2-s2.0-8744285585&partnerID=MN8TOARS

By: M. Öztürk, J. Trussell, C. Townsend, G. Byrd, A. Mortazavi, M. Baran, T. Conte, B. O’Neal, G. Bilbro, J. Brickley

Contributors: M. Öztürk, J. Trussell, C. Townsend, G. Byrd, A. Mortazavi, M. Baran, T. Conte, . B. O'Neal, G. Bilbro, J. Brickley

Source: ORCID
Added: February 15, 2021

2003 article

Design and implementation of Acceptance Monitor for building intrusion tolerant systems

Wang, R., Wang, F. Y., & Byrd, G. T. (2003, November 25). SOFTWARE-PRACTICE & EXPERIENCE, Vol. 33, pp. 1399–1417.

By: R. Wang*, F. Wang* & G. Byrd n

Contributors: R. Wang*, F. Wang* & G. Byrd n

author keywords: fault tolerance; intrusion tolerance; acceptance testing
TL;DR: This paper presents the work on applying one important fault tolerance technique, acceptance testing, for building scalable intrusion tolerant systems and provides a mechanism for building reliable distributed services that are more resistant to both known and unknown attacks. (via Semantic Scholar)
UN Sustainable Development Goal Categories
16. Peace, Justice and Strong Institutions (OpenAlex)
Sources: Web Of Science, ORCID, NC State University Libraries
Added: August 6, 2018

2003 article

Slipstream execution mode for CMP-based multiprocessors

NINTH INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE, PROCEEDINGS, Vol. 12, pp. 179–190.

By: K. Ibrahim n, G. Byrd n & E. Rotenberg n

Contributors: K. Ibrahim n, G. Byrd n & E. Rotenberg n

TL;DR: This work proposes an additional mode of execution, called slipstream mode, that instead enlists extra processors to assist parallel tasks by reducing perceived overheads, and yields two benefits, including a detailed picture of future reference behavior, enabling a number of optimizations aimed at accelerating coherence events, e.g., self-invalidation. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries, ORCID
Added: August 6, 2018

2002 conference paper

Design and implementation of acceptance monitor for building scalable intrusion tolerant system

Proceedings Tenth International Conference on Computer Communications and Networks (Cat. No.01EX495), 2001-January, 200–205.

By: R. Wang*, F. Wang* & G. Byrd n

Contributors: R. Wang*, F. Wang* & G. Byrd n

Event: Tenth International Conference on Computer Communications and Networks

TL;DR: This paper presents work on applying one important fault tolerance technique, acceptance testing, for building scalable intrusion tolerant systems, and makes a comprehensive vulnerability analysis on typical commercial-off-the-shelf (COTS) Web servers. (via Semantic Scholar)
UN Sustainable Development Goal Categories
16. Peace, Justice and Strong Institutions (OpenAlex)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 21, 2019

2002 conference paper

Design of a key agile cryptographic system for OC-12c rate ATM

Proceedings of the Symposium on Network and Distributed System Security, 17–30.

By: D. Stevenson*, N. Hillery*, G. Byrd*, F. Gong* & D. Winkelstein*

Contributors: D. Stevenson*, N. Hillery*, G. Byrd*, F. Gong* & D. Winkelstein*

Event: Symposium on Network and Distributed System Security

TL;DR: The paper describes an experimental key agile cryptographic system under design at MCNC that establishes and manages secure connections between hosts in a manner which is transparent to the end users and compatible with existing public network standards. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 21, 2019

2002 conference paper

Effectiveness of producer-initiated communication

Proceedings of the Thirty-First Hawaii International Conference on System Sciences. Presented at the Thirty-First Hawaii International Conference on System Sciences.

By: G. Byrd* & M. Flynn*

Event: Thirty-First Hawaii International Conference on System Sciences

TL;DR: It is not clear, however, whether producer-initiated mechanisms provide a significant advantage over prefetch or other consumer-oriented mechanisms designed to hide or reduce latency. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 21, 2019

2002 conference paper

On the exploitation of value prediction and producer identification to reduce barrier synchronization time

Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001. Presented at the IEEE International Symposium on Parallel and Distributed Processing.

By: K. Ibrahim n & G. Byrd n

Contributors: K. Ibrahim n & G. Byrd n

Event: IEEE International Symposium on Parallel and Distributed Processing

TL;DR: Simulation results using scientific benchmarks mostly SPLASH-2, indicate that producer identification promises a greater potential reduction in synchronization time, close to actual dependency, and maintains rollback percentage below 10% for most benchmarks. (via Semantic Scholar)
Sources: Crossref, NC State University Libraries, ORCID
Added: March 21, 2019

2001 conference paper

Practical Experiences with ATM Encryption

Network and Distributed System Security Symposium, 23–32,

By: G. Byrd, N. Hillery & J. Symon

Source: NC State University Libraries
Added: March 9, 2019

1999 journal article

Producer-consumer communication in distributed shared memory multiprocessors

Proceedings of the IEEE, 87(3), 456–466.

By: G. Byrd* & M. Flynn*

Contributors: G. Byrd* & M. Flynn*

author keywords: cache memories; message passing; parallel architectures; shared memory systems; synchronization
TL;DR: StreamLine, a cache based message passing mechanism, provides the best performance on the benchmarks with regular communication patterns, and forwarding write and cache based locks are also among the best performing producer initiated mechanisms. (via Semantic Scholar)
Sources: Crossref, ORCID, NC State University Libraries
Added: March 2, 2019

1998 conference paper

Effectiveness of producer-initiated communication

Proceedings of the Hawaii International Conference on System Sciences, 7, 770–771. http://www.scopus.com/inward/record.url?eid=2-s2.0-0031606155&partnerID=MN8TOARS

By: G. Byrd & M. Flynn

Contributors: G. Byrd & M. Flynn

Source: ORCID
Added: February 15, 2021

1998 article

Evaluation of Communication Mechanisms in Invalidate-based Shared Memory Multiprocessors

Parallel Computer Routing and Communication, Vol. 1417, pp. 159–170.

By: G. Byrd* & M. Flynn*

Contributors: G. Byrd* & M. Flynn*

TL;DR: This paper compares the performance of three producer-initiated mechanisms: lock, deliver, and StreamLine and finds that all three approaches out-perform invalidate with prefetch in most cases. (via Semantic Scholar)
Source: ORCID
Added: February 23, 2019

1995 journal article

Multithreaded processor architectures

IEEE Spectrum, 32(8), 38–46.

By: G. Byrd* & M. Holliday*

Contributors: G. Byrd* & M. Holliday*

TL;DR: The authors describe how independent streams of instructions, interwoven on a single processor, fill its otherwise idle cycles and so boost its performance by supporting multiple concurrent streams of execution. (via Semantic Scholar)
Sources: Crossref, ORCID, NC State University Libraries
Added: March 2, 2019

1995 journal article

Secure communications in ATM networks

Communications of the ACM, 38(2), 45–52.

By: D. Stevenson*, N. Hillery* & G. Byrd*

Contributors: D. Stevenson*, N. Hiller* & G. Byrd*

TL;DR: High-speed networking technology and standards have progressed dramatically in the past few years and much attention is now focused on deployment efforts, such as the North Carolina Information Highway (NCIH) and applications, and applications. (via Semantic Scholar)
Sources: Crossref, ORCID, NC State University Libraries
Added: March 2, 2019

1991 conference paper

StreamLine: Cache-Based Message Passing in Scalable Multiprocessors

20th International Conference on Parallel Processing, I, 251–254.

By: G. Byrd & B. Delagi

Source: NC State University Libraries
Added: March 22, 2019

1989 conference paper

Multicast Communication in Multiprocessor Systems

18th International Conference on Parallel Processing, I, 196–200. http://www.scopus.com/inward/record.url?eid=2-s2.0-0024875963&partnerID=MN8TOARS

By: G. Byrd, N. Saraiya & B. Delagi

Contributors: G. Byrd, N. Saraiya & B. Delagi

Sources: NC State University Libraries, ORCID
Added: March 22, 2019

1988 conference paper

A Performance Comparison of Shared Variable vs. Message Passing

Third International Conference on Supercomputing (ICS88). Boston, MA.

By: G. Byrd & B. Delagi

Source: NC State University Libraries
Added: March 22, 2019

1988 conference paper

An Instrumented Architectural Simulation System

SCS Multiconference on Artificial Intelligence and Simulation, 111–120, San Diego, CA.

By: B. Delagi, N. Saraiya, S. Nishimura & G. Byrd

Source: NC State University Libraries
Added: March 9, 2019

1988 conference paper

Instrumented Architectural Simulation

Third International Conference on Supercomputing (ICSS88). Boston, MA.

By: B. Delagi, N. Saraiya, S. Nishimura & G. Byrd

Source: NC State University Libraries
Added: March 22, 2019

1988 conference paper

LAMINA: CARE Applications Interface

Third International Conference on Supercomputing (ICS88). Boston, MA.

By: B. Delagi, N. Saraiya & G. Byrd

Source: NC State University Libraries
Added: March 22, 2019

Employment

Updated: January 28th, 2019 09:27

1999 - present

North Carolina State University Raleigh, NC, US
Professor Electrical and Computer Engineering

Education

Updated: October 14th, 2016 09:20

1985 - 1998

Stanford University Stanford, CA, US
PhD Electrical Engineering

1984 - 1985

Stanford University Stanford, CA, US
MS Electrical Engineering

1980 - 1984

Clemson University Clemson, SC, US
BS, Computer Engineering Electrical and Computer Engineering

Citation Index includes data from a number of different sources. If you have questions about the sources of data in the Citation Index or need a set of data which is free to re-distribute, please contact us.

Certain data included herein are derived from the Web of Science© and InCites© (2024) of Clarivate Analytics. All rights reserved. You may not copy or re-distribute this material in whole or in part without the prior written consent of Clarivate Analytics.