@article{carroll_margavio_parsons_2024, title={"Dual-Tone" Area-Selective Deposition: Selectivity Inversion of Polymer on Patterned Si/SiO2 Starting Surfaces}, volume={2}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.3c03158}, DOI={10.1021/acs.chemmater.3c03158}, abstractNote={Area-selective deposition (ASD) has recently emerged as a promising augmentation of lithographic patterning of small device features. However, current ASD processes are restricted to predefined growth and nongrowth surfaces, limiting their flexibility in industrial processing. In this work, we define the concept of "dual-tone ASD," where a patterned surface is tuned to enable ASD on one of two adjacent surfaces while avoiding growth on the other surface. For the example case in this work, starting with ASD of the poly(3,4-ethylenedioxythipohene) (PEDOT) conjugated polymer on SiO2 vs on hydrogen-terminated silicon (Si–H), we demonstrate a method to modify a patterned Si–H/SiO2 surface to invert the selectivity, enabling PEDOT to grow selectively on the modified Si region and not on the modified SiO2. The selectivity inversion was achieved by selective modification of the substrate surface energy via treatments with dilute hydrofluoric acid (DHF), (dimethylamino)trimethylsilane (DMATMS), and water. Versatile control over selectivity configurations during ASD has implications for deposition of lateral control layers to reduce overgrowth defects, blocking layers for nonselective deposition steps, and sacrificial layers for recently reported simultaneous deposition and etching processes. Through this study, we identify generalized requirements for selectivity inversion as a patterning strategy in the ASD toolbox and show how this strategy is consistent with previous reports of ASD on metal–dielectric patterned surfaces. Extension of these surface energy treatment strategies to other materials will provide additional opportunities for selectivity inversion, leading to flexible applications of ASD in manufacturing settings.}, journal={CHEMISTRY OF MATERIALS}, author={Carroll, Nicholas M. and Margavio, Hannah R. M. and Parsons, Gregory N.}, year={2024}, month={Feb} }
@article{stone_morgan_abdelmigeed_nguyen_bennett_parsons_cowan_2024, title={Control of ZIF-62 and agZIF-62 Film Thickness within Asymmetric Tubular Supports through Pressure and Dose Time Variation of Atomic Layer Deposition}, volume={2}, ISSN={["1613-6829"]}, DOI={10.1002/smll.202307202}, abstractNote={AbstractThin‐films of metal‐organic frameworks (MOFs) have widespread potential applications, especially with the emergence of glass‐forming MOFs, which remove the inherent issue of grain boundaries and allow coherent amorphous films to be produced. Herein, it is established that atomic layer deposition (ALD) of zinc oxide lends excellent control over the thickness and localization of resultant polycrystalline and glass zeolitic imidazole framework‐62 (ZIF‐62) thin‐films within tubular α‐alumina supports. Through the reduction of the chamber pressure and dose times during zinc oxide deposition, the resultant ZIF‐62 films are reduced from 38 µm to 16 µm, while the presence of sporadic ZIF‐62 (previously forming as far as 280 µm into the support) is prevented. Furthermore, the glass transformation shows a secondary reduction in film thickness from 16 to 2 µm.}, journal={SMALL}, author={Stone, Dana M. and Morgan, Sarah E. and Abdelmigeed, Mai O. and Nguyen, Jimmy and Bennett, Thomas D. and Parsons, Gregory N. and Cowan, Matthew G.}, year={2024}, month={Feb} }
@article{pauly_white_deegbey_fosu_keller_mcguigan_dianat_gabilondo_wong_murphey_et al._2024, title={Coordination of copper within a crystalline carbon nitride and its catalytic reduction of CO2}, volume={3}, ISSN={["1477-9234"]}, DOI={10.1039/d4dt00359d}, abstractNote={Crystallites of Cu-coordinated poly(triazine imide) were synthesized by flux methods and deposited from particle suspensions onto electrodes, yielding sizable current densities for the electrocatalytic reduction of CO2.}, journal={DALTON TRANSACTIONS}, author={Pauly, Magnus and White, Ethan and Deegbey, Mawuli and Fosu, Emmanuel Adu and Keller, Landon and Mcguigan, Scott and Dianat, Golnaz and Gabilondo, Eric and Wong, Jian Cheng and Murphey, Corban G. E. and et al.}, year={2024}, month={Mar} }
@article{oyetade_wang_he_margavio_bottum_rooney_wang_donley_parsons_cohen-karni_et al._2024, title={Covalent Functionalization of Silicon with Plasma-Grown "Fuzzy" Graphene: Robust Aqueous Photoelectrodes for CO2 Reduction by Molecular Catalysts}, volume={7}, ISSN={["1944-8252"]}, url={https://doi.org/10.1021/acsami.4c04691}, DOI={10.1021/acsami.4c04691}, abstractNote={Carbon electrodes are ideal for electrochemistry with molecular catalysts, exhibiting facile charge transfer and good stability. Yet for solar-driven catalysis with semiconductor light absorbers, stable semiconductor/carbon interfaces can be difficult to achieve, and carbon's high optical extinction means it can only be used in ultrathin layers. Here, we demonstrate a plasma-enhanced chemical vapor deposition process that achieves well-controlled deposition of out-of-plane "fuzzy" graphene (FG) on thermally oxidized Si substrates. The resulting Si|FG interfaces possess a silicon oxycarbide (SiOC) interfacial layer, implying covalent bonding between Si and the FG film that is consistent with the mechanical robustness observed from the films. The FG layer is uniform and tunable in thickness and optical transparency by deposition time. Using}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Oyetade, Oluwaseun A. and Wang, Yingqiao and He, Shi and Margavio, Hannah R. M. and Bottum, Samuel R. and Rooney, Conor L. and Wang, Hailiang and Donley, Carrie L. and Parsons, Gregory N. and Cohen-Karni, Tzahi and et al.}, year={2024}, month={Jul} }
@article{abdelmigeed_mahle_peterson_parsons_2024, title={Highly Mesoporous Zr-Based MOF-Fabric Composites: A Benign Approach for Expeditious Degradation of Chemical Warfare Agents and Simulants}, volume={9}, ISSN={["1613-6829"]}, url={https://doi.org/10.1002/smll.202405831}, DOI={10.1002/smll.202405831}, abstractNote={Recent research has demonstrated the degradation of organophosphonates through hydrolysis using microporous UiO-66-NH}, journal={SMALL}, author={Abdelmigeed, Mai O. and Mahle, John J. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2024}, month={Sep} }
@article{oh_thelven_margavio_parsons_2024, title={Low-Temperature Dual-Material Area-Selective Deposition: Molybdenum Hexafluoride-Mediated SiO2 Fluorination/Passivation for Self-Aligned Molybdenum/Metal Oxide Nanoribbons}, volume={4}, ISSN={["1616-3028"]}, url={https://doi.org/10.1002/adfm.202316872}, DOI={10.1002/adfm.202316872}, abstractNote={Abstract Area‐selective deposition (ASD) is a forefront nanopatterning technique gaining substantial attention in the semiconductor industry. While current research primarily addresses single‐material ASD, exploring multi‐material ASD is essential for mitigating complexity in advanced nanopatterning. This study describes molybdenum hexafluoride (MoF 6 )‐mediated fluorination/passivation of the hydroxylated SiO 2 (SiO 2 ‒OH) at 250 °C as a new method to pacify nucleation during subsequent ZnO and TiO 2 atomic layer deposition (ALD). In contrast, Al 2 O 3 ALD is not passivated on the fluorinated SiO 2 (SiO 2 ‒F). The study further shows that Mo ALD using MoF 6 and silane (1 wt% SiH 4 in Ar) selectively proceeds on hydrogen‐terminated Si (Si‒H), whereas SiO 2 ‒OH becomes fluorine‐passivated without observable Mo deposition. This enables subsequent ZnO and TiO 2 ASD on Mo versus SiO 2 ‒F, as confirmed by X‐ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), and scanning transmission electron microscopy (STEM). Proposed growth and inhibition mechanisms highlight the importance of the metal oxide precursor in achieving selectivity. Taken together, self‐aligned Mo/ZnO and Mo/TiO 2 nanoribbons are demonstrated on coplanar nanoscale Si‒H/SiO 2 ‒OH patterns by sequentially integrating two individual ASD processes: 1) Mo ASD on Si‒H versus SiO 2 ‒OH; and 2) ZnO or TiO 2 ASD on Mo versus SiO 2 ‒F. This work highlights the potential for this approach in new ASD systems.}, journal={ADVANCED FUNCTIONAL MATERIALS}, author={Oh, Hwan and Thelven, Jeremy M. and Margavio, Hannah R. M. and Parsons, Gregory N.}, year={2024}, month={Apr} }
@article{bredar_margavio_donley_spinner_amin_parsons_dempsey_2024, title={Oxidation Temperature-Dependent Electrochemical Doping of WO3 Deposited via Atomic Layer Deposition}, volume={128}, ISSN={["1932-7455"]}, url={https://doi.org/10.1021/acs.jpcc.4c06105}, DOI={10.1021/acs.jpcc.4c06105}, abstractNote={Silicon-based photoelectrochemical devices show promise for the performance of light-driven CO2 reduction but suffer from instability under photoelectrochemical conditions relevant to CO2 reduction. Coating silicon electrodes with thin layers of metal oxides has shown promise to passivate unstable silicon surfaces, and many different metal oxides can be deposited on silicon using various techniques. In this study, we investigate the fundamental photoelectrochemical performance of WO3-coated silicon photoelectrodes, which were generated by oxidation of W-metal films deposited via atomic layer deposition on both degenerately doped (nSi+) and low-doped (pSi) silicon. Two different oxidation temperatures were investigated (400 and 600 °C), and it was found that the monoclinic phase of WO3 predominates at both temperatures but that more grain boundaries are present in the 600 °C film. From X-ray photoelectron spectroscopy, the stoichiometry of both films was found to be 1:3 W:O, and low electron energy loss experiments indicate band gaps of 3.0 and 3.1 eV for 400 and 600 °C films, respectively. Cyclic voltammetry experiments showed that the electron transfer kinetics increased after continued redox cycling, particularly for the material produced at 400 °C. X-ray photoelectron spectra suggest that the observed increase in electrode conductivity is due to the formation of oxygen vacancies in the film. Electrochemical impedance spectroscopy indicated that charge transport through the films was impacted by the grain boundaries that formed during oxidation of the film. Photoelectrochemical studies on pSi/WO3 electrodes were highly variable, only producing a photocurrent and photovoltage with some samples. Our best sample, formed at 400 °C, produced a photovoltage of 180 mV, which is lower than what has previously been reported for WO3-coated silicon (500 mV). We hypothesize that the variability in photoelectrochemical experiments arose from a roughened WSiOx interface that is generated during film preparation. WO3 shows promise as a metal oxide coating for silicon, but our results suggest that formation of a high-quality interface between Si and WO3 is vital for best performance.}, number={50}, journal={JOURNAL OF PHYSICAL CHEMISTRY C}, author={Bredar, Alexandria R. C. and Margavio, Hannah R. M. and Donley, Carrie and Spinner, Neil and Amin, Nyaan and Parsons, Gregory N. and Dempsey, Jillian L.}, year={2024}, month={Dec}, pages={21539–21550} }
@article{nedzbala_westbroek_margavio_yang_noh_magpantay_donley_kumbhar_parsons_mayer_2024, title={Photoelectrochemical Proton-Coupled Electron Transfer of TiO2 Thin Films on Silicon}, volume={4}, ISSN={["1520-5126"]}, url={https://doi.org/10.1021/jacs.4c00014}, DOI={10.1021/jacs.4c00014}, abstractNote={TiO2 thin films are often used as protective layers on semiconductors for applications in photovoltaics, molecule–semiconductor hybrid photoelectrodes, and more. Experiments reported here show that TiO2 thin films on silicon are electrochemically and photoelectrochemically reduced in buffered acetonitrile at potentials relevant to photoelectrocatalysis of CO2 reduction, N2 reduction, and H2 evolution. On both n-type Si and irradiated p-type Si, TiO2 reduction is proton-coupled with a 1e–:1H+ stoichiometry, as demonstrated by the Nernstian dependence of the Ti4+/3+ E1/2 on the buffer pKa. Experiments were conducted with and without illumination, and a photovoltage of ∼0.6 V was observed across 20 orders of magnitude in proton activity. The 4 nm films are almost stoichiometrically reduced under mild conditions. The reduced films catalytically transfer protons and electrons to hydrogen atom acceptors, based on cyclic voltammogram, bulk electrolysis, and other mechanistic evidence. TiO2/Si thus has the potential to photoelectrochemically generate high-energy H atom carriers. Characterization of the TiO2 films after reduction reveals restructuring with the formation of islands, rendering TiO2 films as a potentially poor choice as protecting films or catalyst supports under reducing and protic conditions. Overall, this work demonstrates that atomic layer deposition TiO2 films on silicon photoelectrodes undergo both chemical and morphological changes upon application of potentials only modestly negative of RHE in these media. While the results should serve as a cautionary tale for researchers aiming to immobilize molecular monolayers on "protective" metal oxides, the robust proton-coupled electron transfer reactivity of the films introduces opportunities for the photoelectrochemical generation of reactive charge-carrying mediators.}, journal={JOURNAL OF THE AMERICAN CHEMICAL SOCIETY}, author={Nedzbala, Hannah S. and Westbroek, Dalaney and Margavio, Hannah R. M. and Yang, Hyuenwoo and Noh, Hyunho and Magpantay, Samantha V. and Donley, Carrie L. and Kumbhar, Amar S. and Parsons, Gregory N. and Mayer, James M.}, year={2024}, month={Apr} }
@article{margavio_arellano_singh_wojtecki_parsons_2024, title={Simultaneous Co-localized TiO2 Etching and W Atomic Layer Deposition Using WF6 as a Dual-Functional Reactant}, volume={9}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.4c01773}, DOI={10.1021/acs.chemmater.4c01773}, journal={CHEMISTRY OF MATERIALS}, author={Margavio, Hannah R. M. and Arellano, Noel and Singh, Ishwar and Wojtecki, Rudy and Parsons, Gregory N.}, year={2024}, month={Sep} }
@article{carroll_parsons_2024, title={Stochastic lattice model for atomic layer deposition and area-selective deposition of metal oxides: Visualization and analysis of lateral overgrowth during area-selective deposition}, volume={42}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/6.0003838}, DOI={10.1116/6.0003838}, abstractNote={Although area-selective deposition (ASD) has developed to augment lithographic patterning of nanoscale device features, computational modeling of ASD remains limited. As pitch sizes shrink, the extent of lateral overgrowth at the feature edge becomes critical to ASD processing. We report a stochastic lattice model that describes atomic layer deposition (ALD) and ASD of Al2O3 using trimethylaluminum and water as an example system. The reactant/surface interactions are constrained such that the resulting ALD film properties, i.e., Al/O atom ratio, fraction of unreacted (blocked) –OH groups, fraction of “void” sites, and growth per cycle, are reasonably consistent with the experimental results for Al2O3 ALD. In the ASD model, the film nucleates in a localized “growth” region and extends laterally over a co-planar adjacent “nongrowth” region, thereby simulating lateral growth evolution. Under the “base ALD” condition, the extent of lateral growth is equivalent to vertical growth, and the contact angle between the film and the substrate is 90°. Introducing additional constraints on reactant/nongrowth surface interactions leads to changes in the extent, shape, and contact angle of the lateral growth, enabling insight into chemical and physical mechanisms that influence the shape and extent of lateral overgrowth. The 3D model visualizations are directly compared with example ASD results, demonstrating consistency between the model output and experiments. Comparing the mechanisms introduced to the model with the experimental ASD processes and conditions provides insight into the mechanisms that drive film shape evolution and lateral overgrowth, enhancing understanding of means to control lateral profile evolution during ASD.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Carroll, Nicholas M. and Parsons, Gregory N.}, year={2024}, month={Dec} }
@article{nye_carroll_morgan_parsons_2024, title={Vapor-phase zeolitic imidazolate framework-8 growth on fibrous polymer substrates}, volume={42}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/6.0003183}, DOI={10.1116/6.0003183}, abstractNote={The use of metal-organic frameworks (MOFs) in practical applications is often hindered by synthesis related challenges. Conventional solution-based approaches rely on hazardous solvents and often form powders that are difficult to integrate into practical devices. On the other hand, vapor-phase approaches generally result in MOF films on silicon substrates that make it difficult to characterize the MOF surface area, which is an important quality indicator. We address these challenges by introducing a solvent-free synthesis method to form MOF–fiber composites, which can be more easily integrated into devices. Additionally, these vapor-phase-formed MOF–fiber composites are compatible with Brunauer–Emmett–Teller surface area analysis to characterize MOF quality. Atomic layer deposition is used to form a ZnO film on polypropylene, polyester, and nylon fibrous substrates, which is subsequently converted to zeolitic imidazolate framework-8 (ZIF-8) using 2-methylimidazole vapor. We describe the effects of the ZnO film thickness and MOF conversion conditions on MOF crystallinity and surface area. We report a ZIF-8 surface area of ∼1300 m2/gMOF, which is comparable to reported surface areas of ∼1250–1600 m2/gMOF from conventional synthesis techniques, demonstrating good quality of the solvent-free MOF–fiber composites. We expect these results to extend vapor-phase MOF formation to new, practical substrates for advanced sensing and catalytic applications.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Nye, Rachel A. and Carroll, Nicholas M. and Morgan, Sarah E. and Parsons, Gregory N.}, year={2024}, month={Jan} }
@article{saare_xie_parsons_2023, title={Comparison of BCl3, TiCl4, and SOCl2 chlorinating agents for atomic layer etching of TiO2 and ZrO2 using tungsten hexafluoride}, volume={41}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/6.0002708}, DOI={10.1116/6.0002708}, abstractNote={Recent advances in the semiconductor industry have created an exigency for processes that allow to deposit and etch material in conformal matter in three-dimensional devices. While conformal deposition is achieved using atomic layer deposition (ALD), conformal etching can be accomplished by thermal atomic layer etching (ALE) which, like ALD, proceeds via a binary sequence of self-limiting reactions. This study explores ALE of TiO2 and ZrO2 using WF6 as a fluorinating agent, and BCl3, TiCl4, or SOCl2 as a co-reactant. The effect of co-reactant chemistry was studied using atomic force microscopy, in situ ellipsometry, and in vacuo Auger electron spectroscopy measurements along with thermodynamic modeling. All three co-reactants exhibited saturation and etch rates increasing with temperature. At 170 °C, TiO2 can be etched using WF6 with BCl3, TiCl4, or SOCl2, and the etching proceeds at 0.24, 0.18, and 0.20 nm/cycle, respectively. At 325 °C, ZrO2 ALE can occur using these same reactants, proceeding at 0.96, 0.74, and 0.13 nm/cycle, respectively. A higher temperature is needed for ZrO2 ALE versus TiO2 because the ZrCl4 product is less volatile than the corresponding TiCl4. During ZrO2 and TiO2 etching using BCl3 or TiCl4, boron oxide or titanium oxide intermediate layers, respectively, were formed on the surface, and they were subsequently removed by WF6. In contrast, for ALE of TiO2 using SOCl2, a similar intermediate layer is not observed. This study broadens the understanding of co-etchants role during thermal ALE and expands the range of reactants that can be used for vapor etching of metal oxides.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Saare, Holger and Xie, Wenyi and Parsons, Gregory N.}, year={2023}, month={Jul} }
@article{morgan_willis_dianat_peterson_mahle_parsons_2023, title={Cover Feature: Toxin‐Blocking Textiles: Rapid, Benign, Roll‐to‐Roll Production of Robust MOF‐Fabric Composites for Organophosphate Separation and Hydrolysis (ChemSusChem 2/2023)}, url={https://doi.org/10.1002/cssc.202202342}, DOI={10.1002/cssc.202202342}, abstractNote={The Cover Feature shows rapid, roll-to-roll synthesis of metal–organic framework fabric composites utilizing low boiling point, environmentally conscious solvents. The metal–organic framework fabrics neutralize chemical warfare agents and block toxin permeation. More information can be found in the Research Article by S. E. Morgan et al.}, journal={ChemSusChem}, author={Morgan, Sarah E. and Willis, Morgan L. and Dianat, Golnaz and Peterson, Gregory W. and Mahle, John J. and Parsons, Gregory N.}, year={2023}, month={Jan} }
@article{mcguigan_tereniak_donley_smith_jeon_zhao_sampaio_pauly_keller_collins_et al._2023, title={Discovery of a Hybrid System for Photocatalytic CO2 Reduction via Attachment of a Molecular Cobalt-Quaterpyridine Complex to a Crystalline Carbon Nitride}, volume={10}, ISSN={["2574-0962"]}, DOI={10.1021/acsaem.3c01670}, abstractNote={While recent reports have demonstrated the attachment of molecular catalysts to amorphous, graphitic carbon nitrides (g-CN) for light-driven CO2 reduction, approaches to the utilization of crystalline carbon nitrides have remained undiscovered. Herein, a functional hybrid photocatalyst system has been found using a crystalline carbon nitride semiconductor, poly(triazine imide) lithium chloride (PTI-LiCl), with a surface-attached CoCl2(qpy-Ph-COOH) catalyst for CO2 reduction. The molecular catalyst attaches to PTI-LiCl at concentrations from 0.10 to 4.30 wt % and exhibits ∼96% selectivity for CO production in a CO2-saturated, aqueous 0.5 M KHCO3 solution. Optimal loadings were found to be within 0.42–1.04 wt % with rates between 1,400 and 1,550 μmol CO/g·h at an irradiance of 172 mW/cm2 (λ = 390 nm) and apparent quantum yields of ∼2%. This optimized loading is postulated to represent a balance between maximal turnover frequency (TOF; 300+ h–1) and excess catalyst that can limit excited-electron lifetimes, as probed via transient absorption spectroscopy. An increase in the incident irradiance yields a concomitant increase in the TOFs and CO rates only for the higher catalyst loadings, reaching up to 2,149 μmol CO/g·h with a more efficient use of the catalyst surface capacity. The lower catalyst loadings, by comparison, already function at maximal TOFs. Higher surface loadings are also found to help mitigate deactivation of the molecular catalysts during extended catalytic testing (>24 h) owing to the greater net surface capacity for CO2 reduction, thus representing an effective strategy to extend lifetime. The hybrid particles can be deposited onto an FTO substrate to yield ∼60% Faradaic efficiency for photoelectrochemical CO production at −1.2 V vs Ag/AgCl bias. In summary, these results demonstrate the synergistic combination of a crystalline carbon nitride with a molecular catalyst that achieves among the highest known rates in carbon-nitride systems for the light-driven CO2 reduction to CO in aqueous solution with >95% selectivity.}, journal={ACS APPLIED ENERGY MATERIALS}, author={McGuigan, Scott and Tereniak, Stephen J. and Donley, Carrie L. and Smith, Avery and Jeon, Sungho and Zhao, Fengyi and Sampaio, Renato N. and Pauly, Magnus and Keller, Landon and Collins, Leonard and et al.}, year={2023}, month={Oct} }
@article{nye_van dongen_de simone_oka_parsons_delabie_2023, title={Enhancing Performance and Function of Polymethacrylate Extreme Ultraviolet Resists Using Area-Selective Deposition}, volume={2}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.2c03404}, DOI={10.1021/acs.chemmater.2c03404}, abstractNote={Extreme ultraviolet (EUV) lithography is a critical enabler in next-generation technology, although the low etch resistance of conventional organic EUV resists results in low resolution pattern transfer, particularly for smaller features. In this work, we integrate area-selective deposition (ASD), a bottom-up nanopatterning technique, with EUV resists of industrially relevant thicknesses (<50 nm thick) to form resist hardening or tone inverting layers for improved resolution. We utilize TiO2 ASD via atomic layer deposition on 25–35 nm thin photosensitive polymethacrylate-based EUV materials. By tuning the polymer structure and functionality, we enable different scenarios for selective deposition on top of the resist, infiltrated into the bulk resist, or selective to the resist. We find that a cyclohexyl protecting group causes TiO2 inhibition, thus showing promise for tone inversion applications with oxide underlayers. In contrast, resist materials containing a tert-butyl protecting group are good candidates for resist hardening because they enable TiO2 deposition on both EUV exposed and unexposed polymers. Furthermore, we report the integration of a dimethylamino-trimethylsilane inhibitor with the resists to inhibit TiO2 surface nucleation and facilitate subsurface diffusion, thus further broadening potential applications. The results described here establish an important baseline for utilizing ASD on various organic resists to achieve tone inversion or resist hardening and hence improve EUV pattern resolution.}, journal={CHEMISTRY OF MATERIALS}, author={Nye, Rachel A. and Van Dongen, Kaat and De Simone, Danilo and Oka, Hironori and Parsons, Gregory N. and Delabie, Annelies}, year={2023}, month={Feb} }
@article{morgan_rivera_willis_peterson_mahle_mundy_parsons_2023, title={Factors and Limitations of Green, Rapid Metal-Organic Framework-Fabric Synthesis and Effects on Dual Chemical Warfare Agent Protection}, volume={7}, ISSN={["1520-5045"]}, url={https://doi.org/10.1021/acs.iecr.3c01282}, DOI={10.1021/acs.iecr.3c01282}, abstractNote={Metal–organic framework (MOF) fabric composites integrate important MOF chemistry with flexible, strong fabric substrates and are useful in many applications ranging from sensing, biomedical, and filtration to catalysis. Sorption-vapor synthesis (SVS) is a recently introduced method for MOF-fabric synthesis that allows high yields and rapid reactions and is scalable. However, little is known about the effects and limitations of process parameters on the final MOF-fabric properties and performance. This work investigates process parameter–MOF property–MOF performance relationships for UiO-66-NH2 fabric composites made using a rapid, benign SVS approach and is tested for toxic chemical vapor protection applications. Repeating SVS synthesis, ∼70 min per cycle, on the same fabric substrate led to an increase in MOF loading of 0.13 gMOF/gfiber per cycle and significant change in crystal morphology. It was determined that precursor concentrations >130 mM in the starting solution resulted in nonporous, amorphous MOF formation. The benign SVS method reported here achieved >95% heterogeneous MOF yield determined through scalability experiments. The MOF-fabrics were tested for organophosphate hydrolysis and permeation of both organophosphate and vesicant toxic vapors. It was found that the number of SVS cycles used to make a MOF-fabric significantly impacted performance, and despite having increased porous MOF loading, increasing over three SVS cycles led to reduced organophosphate hydrolysis rates and protection times. This work not only presents insights into the effect of synthesis process parameters on final MOF-fabric properties but also relates those changes to performance in dual toxic vapor protection applications.}, journal={INDUSTRIAL & ENGINEERING CHEMISTRY RESEARCH}, author={Morgan, Sarah E. E. and Rivera, Carwynn D. D. and Willis, Morgan L. L. and Peterson, Gregory W. W. and Mahle, John J. J. and Mundy, Laura and Parsons, Gregory N. N.}, year={2023}, month={Jul} }
@article{clerix_dianat_delabie_parsons_2023, title={In situ analysis of nucleation reactions during TiCl4/H2O atomic layer deposition on SiO2 and H-terminated Si surfaces treated with a silane small molecule inhibitor}, volume={41}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/6.0002493}, DOI={10.1116/6.0002493}, abstractNote={Small-molecule inhibitors have recently been introduced for passivation during area-selective deposition (ASD). Small silanes like (N,N-dimethylamino)trimethylsilane (DMATMS) selectively react with −OH sites on SiO2 to form a less reactive –OSi(CH3)3 terminated surface. The –OSi(CH3)3 surface termination can inhibit many atomic layer deposition (ALD) processes, including TiCl4/H2O ALD. However, the mechanisms by which ALD is inhibited and by which selectivity is eventually lost are not well understood. This study uses in situ Fourier-transform infrared spectroscopy to probe the adsorption of DMATMS on SiO2 and the subsequent reactions when the passivated surface is exposed to TiCl4/H2O ALD. The chemisorption of DMATMS on isolated –OH groups on SiO2 is shown to inhibit the reaction with TiCl4. Further, we find that starting with an inherently inhibiting H-terminated Si surface, DMATMS can also react with residual –OH groups and reduce the extent of nucleation. Finally, using Rutherford backscattering spectrometry, the effectiveness of DMATMS passivation on SiO2 and H-terminated Si is quantified during extended ALD cycle numbers. The insight into the mechanisms of passivation by DMATMS and passivation loss can enable the rational design of highly selective ASD processes by carefully matching compatible surfaces, passivating agents, and ALD precursors.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Clerix, Jan-Willem J. and Dianat, Golnaz and Delabie, Annelies and Parsons, Gregory N.}, year={2023}, month={May} }
@article{keller_vecchi_grills_polyansky_bein_dempsey_cahoon_parsons_sampaio_meyer_2023, title={Multi-Electron Transfer at H-Terminated p-Si Electrolyte Interfaces: Large Photovoltages under Inversion Conditions}, volume={5}, ISSN={["1520-5126"]}, url={https://doi.org/10.1021/jacs.3c01990}, DOI={10.1021/jacs.3c01990}, abstractNote={Photovoltages for hydrogen-terminated p-Si(111) in an acetonitrile electrolyte were quantified with methyl viologen [1,1'-(CH3)2-4,4'-bipyridinium](PF6)2, abbreviated MV2+, and [Ru(bpy)3](PF6)2, where bpy is 2,2'-bipyridine, that respectively undergo two and three one-electron transfer reductions. The reduction potentials, E°, of the two MV2+ reductions occurred at energies within the forbidden bandgap, while the three [Ru(bpy)3]2+ reductions occurred within the continuum of conduction band states. Bandgap illumination resulted in reduction that was more positive than that measured with a degenerately doped n+-Si demonstrative of a photovoltage, Vph, that increased in the order MV2+/+ (260 mV) < MV+/0 (400 mV) < Ru2+/+ (530 mV) ∼ Ru+/0 (540 mV) ∼ Ru0/- (550 mV). Pulsed 532 nm excitation generated electron-hole pairs whose dynamics were nearly constant under depletion conditions and increased markedly as the potential was raised or lowered. A long wavelength absorption feature assigned to conduction band electrons provided additional evidence for the presence of an inversion layer. Collectively, the data reveal that the most optimal photovoltage, as well as the longest electron-hole pair lifetime and the highest surface electron concentration, occurs when E° lies energetically within the unfilled conduction band states where an inversion layer is present. The bell-shaped dependence for electron-hole pair recombination with the surface potential was predicted by the time-honored SRH model, providing a clear indication that this interface provides access to all four bias conditions, i.e., accumulation, flat band, depletion, and inversion. The implications of these findings for photocatalysis applications and solar energy conversion are discussed.}, journal={JOURNAL OF THE AMERICAN CHEMICAL SOCIETY}, author={Keller, Niklas D. and Vecchi, Pierpaolo and Grills, David C. and Polyansky, Dmitry E. and Bein, Gabriella P. and Dempsey, Jillian L. and Cahoon, James F. and Parsons, Gregory N. and Sampaio, Renato N. and Meyer, Gerald J.}, year={2023}, month={May} }
@article{nye_van dongen_marneffe_parsons_delabie_2023, title={Quantified Uniformity and Selectivity of TiO2 Films in 45-nm Half Pitch Patterns Using Area-Selective Deposition Supercycles}, volume={6}, ISSN={["2196-7350"]}, DOI={10.1002/admi.202300163}, abstractNote={AbstractArea‐selective deposition (ASD) shows great promise for sub‐10 nm manufacturing in nanoelectronics, but significant challenges remain in scaling to ultrasmall dimensions and understanding feature‐dependent nonuniformity and selectivity loss. This work addresses these problems by simultaneously quantifying uniformity and selectivity for passivation/deposition/etch supercycles in 45 nm half‐pitch TiN/SiO2 line/space patterns. This work employs three selective processes that are uniquely suited for supercycle processing: dimethylamino‐trimethylsilane (DMA‐TMS) inhibition, TiO2 atomic layer deposition (ALD), and HBr/BCl3 plasma etch. The DMA‐TMS inhibition selectively passivates the SiO2 nongrowth surface without affecting deposition on the TiN and TiO2 growth surfaces. The plasma etch removes TiO2 defect particles at a faster rate than the conformal TiO2 film or SiO2 lines. Using three supercycles of this process, this work demonstrates 8 nm of TiO2 with 88% uniformity and ≈100% selectivity according to transmission electron microscopy (TEM), a 2×improvement in film thickness from previous reports in similar nanoscale patterns. Integrated consideration of uniformity and selectivity at specific feature scales will facilitate the effective design of selective deposition processes for nanoscale electronic devices.}, journal={ADVANCED MATERIALS INTERFACES}, author={Nye, Rachel A. A. and Van Dongen, Kaat and Marneffe, Jean-Francois and Parsons, Gregory N. N. and Delabie, Annelies}, year={2023}, month={Jun} }
@article{oh_kim_margavio_parsons_2023, title={Self-Aligned Nanopatterning and Controlled Lateral Growth by Dual-Material Orthogonal Area-Selective Deposition of Poly(3,4-ethylenedioxythiophene) and Tungsten}, volume={35}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.3c00530}, DOI={10.1021/acs.chemmater.3c00530}, abstractNote={Despite recent advances in area-selective deposition (ASD) processes, most studies have focused on single-material ASD. Multi-material ASD processes could provide additional flexibility for fabricating semiconductor devices. In this work, we identify process requirements to sequentially combine two intrinsic ASD processes: (1) poly(3,4-ethylenedioxythiophene) (PEDOT) ASD on SiO2 vs Si–H via oxidative chemical vapor deposition and (2) W ASD on Si–H vs SiO2 via atomic layer deposition. Using ex situ X-ray photoelectron spectroscopy, we show that a preferred orthogonal ASD sequence involves PEDOT ASD on SiO2 vs Si–H, followed by W ASD on Si–H vs PEDOT. We find that the properties of the individual PEDOT and W ASD materials, including resistivity, surface roughness, and growth rate, are affected by the ASD sequence. Furthermore, we successfully demonstrate that orthogonal ASD can be extended to nanoscale starting patterns. The cross-sectional scanning transmission electron microscopy (STEM) with energy-dispersive X-ray spectroscopy analysis shows that the resulting PEDOT thickness on SiO2 depends on feature geometry and dimension. Finally, we demonstrate the feasibility that the PEDOT layer can control the lateral growth of W onto the non-growth surface.}, number={11}, journal={CHEMISTRY OF MATERIALS}, author={Oh, Hwan and Kim, Jung-Sik and Margavio, Hannah R. M. and Parsons, Gregory N.}, year={2023}, month={May}, pages={4375–4384} }
@article{rahmanian_ebrahim_razavi_abdelmigeed_barbieri_menegatti_parsons_li_pirzada_khan_2023, title={Vapor phase synthesis of metal-organic frameworks on a nanofibrous aerogel creates enhanced functionality}, volume={11}, ISSN={["2050-7496"]}, url={https://doi.org/10.1039/D3TA05299K}, DOI={10.1039/D3TA05299K}, abstractNote={Vapor-phase synthesis of metal–organic frameworks (MOFs) on nanofibrous aerogels provides a hierarchically porous and mechanically robust material platform for use in a multitude of applications, from carbon dioxide capture to heavy metal removal.}, journal={JOURNAL OF MATERIALS CHEMISTRY A}, author={Rahmanian, Vahid and Ebrahim, Muhammed Ziauddin Ahmad and Razavi, Seyedamin and Abdelmigeed, Mai and Barbieri, Eduardo and Menegatti, Stefano and Parsons, Gregory N. and Li, Fanxing and Pirzada, Tahira and Khan, Saad A.}, year={2023}, month={Nov} }
@article{hsain_lee_lomenzo_alcala_xu_mikolajick_schroeder_parsons_jones_2023, title={Wake-up free ferroelectric hafnia-zirconia capacitors fabricated via
vacuum-maintaining atomic layer deposition}, volume={133}, ISSN={0021-8979 1089-7550}, url={http://dx.doi.org/10.1063/5.0147124}, DOI={10.1063/5.0147124}, abstractNote={Ferroelectric hafnium-zirconium oxide (HZO) is an excellent candidate for low-power non-volatile memory applications due to its demonstrated ferroelectricity at the nanoscale and compatibility with silicon-based technologies. The interface of HZO in contact with its electrode, typically TiN in a metal–ferroelectric–metal (MFM) capacitor configuration, is of particular interest because factors, such as volume confinement, impurity concentration, interfacial layers, thermal expansion mismatch, and defect trapping, are believed to play a crucial role in the ferroelectric performance of HZO-based devices. Processing variables, such as precursor type, oxygen source, dose duration, and deposition temperature, are known to strongly affect the quality of the oxide–metal interface. However, not many studies have focused on the effect of breaking or maintaining vacuum during MFM deposition. In this study, sequential, no-atmosphere processing (SNAP) is employed to avoid atmospheric exposure, where electrode TiN and ferroelectric HZO are deposited sequentially in the atomic layer deposition chamber without breaking vacuum. The effect of breaking vacuum during the sequential deposition steps is elucidated by fabricating and characterizing MFM capacitors with and without intentional vacuum breaks prior to the deposition of the HZO and top TiN. Using x-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry (ToF-SIMS), we reveal that breaking vacuum after bottom TiN electrode deposition leads to interfacial oxidation and increased carbon contamination, which preferentially stabilizes the non-ferroelectric tetragonal phase and lead to diminished remanent polarization. Avoiding carbon impurities and interfacial TiOx at the HZO and TiN interface using SNAP leads to heightened remanent polarization, reduced leakage current density, and elimination of the wake-up effect. Our work highlights the effect of vacuum breaking on the processing-structure-properties of HZO-based capacitors, revealing that maintaining vacuum can significantly improve ferroelectric properties.}, number={22}, journal={Journal of Applied Physics}, publisher={AIP Publishing}, author={Hsain, H. Alex and Lee, Younghwan and Lomenzo, Patrick D. and Alcala, Ruben and Xu, Bohan and Mikolajick, Thomas and Schroeder, Uwe and Parsons, Gregory N. and Jones, Jacob L.}, year={2023}, month={Jun} }
@article{parsons_2022, title={(Invited) Introduction and Overview of Area-Selective Thin Film Deposition}, url={https://doi.org/10.1149/MA2022-02311113mtgabs}, DOI={10.1149/MA2022-02311113mtgabs}, abstractNote={ Low temperature Area-Selective Deposition (ASD) is becoming an important need in semiconductor manufacturing to augment photolithography for improved resolution and alignment of printed features. Area-selective deposition is used routinely at temperature in excess of 800°C to form epitaxial transistor contacts, but for back-end applications, new ASD processes are needed that work at <400°C. This tutorial will introduce the challenges of low temperature ASD, and summarize recent advances in this field, including ASD via Atomic Layer Deposition (ALD) and Chemical Vapor Deposition (CVD), and understanding of feature size dependence and lateral “mushroom” growth. We will review approaches using surface passivation, including self-assembled monolayers and small molecule inhibitors, as well as approaches based on inherent differences in nucleation on different clean surfaces. Further, we will present emerging approaches that achieve patterning by taking advantage of native selectivity in nucleation and etching reactions, for example, where ALD and Atomic Layer Etching (ALE) can be combined, either in sequence or in parallel, to achieve desired outcomes. We will also review current modeling efforts, including methods to quantitatively analyze ASD and compare results for different materials and different processes, highlighting the challenges of understanding reactions for initial nucleation and nucleus removal. }, journal={ECS Meeting Abstracts}, author={Parsons, Gregory}, year={2022}, month={Oct} }
@article{saare_dianat_parsons_2022, title={Comparative In Situ Study of the Initial Growth Trends of Atomic Layer-Deposited Al(2)O(3 )Films br}, volume={126}, ISSN={["1932-7455"]}, url={https://doi.org/10.1021/acs.jpcc.2c01033}, DOI={10.1021/acs.jpcc.2c01033}, abstractNote={In this work, we compare the initial growth trends of atomic layer-deposited aluminum oxide (Al2O3) using three different Al precursors and H2O as the oxygen source on hydroxyl-terminated silicon (Si-OH) and hydrogen-terminated silicon (Si-H) surfaces. Trimethylaluminum (TMA), triethylaluminum (TEA), and dimethylaluminum chloride (DMAC) are chosen as the Al precursors due to comparable variations between their structures. Thickness evolution obtained from in situ ellipsometry exhibits similar behavior for all three precursors with initially accelerated growth during the first cycle on the Si-OH starting surface, which then proceeds in a steady manner characteristic of atomic layer deposition (ALD). In situ Fourier transform infrared spectroscopy (FTIR) shows that at 200 °C both TEA and TMA react with above 85% of −OH ligands present on the initial Si-OH substrate and the subsequent H2O dose reacts with only ∼50% of the surface C–H groups, indicating incomplete removal of the methyl or ethyl ligands on the surface. Al2O3 growth on the Si-H surface exhibits a delay due to the lack of surface hydroxyl groups, leading to formation of Si-Me or Si-Et groups. A lower reactivity of DMAC compared to TMA and TEA results in a lower initial selectivity fraction. The results provide vital insight into the importance of precursor selection for area-selective ALD applications and open a pathway for realizing selective Al2O3 deposition based on inherent substrate selectivity.}, number={16}, journal={JOURNAL OF PHYSICAL CHEMISTRY C}, publisher={American Chemical Society (ACS)}, author={Saare, Holger and Dianat, Golnaz and Parsons, Gregory N.}, year={2022}, month={Apr}, pages={7036–7046} }
@article{nye_van dongen_oka_de simone_parsons_delabie_2022, title={Compatibility between polymethacrylate-based extreme ultraviolet resists and TiO(2 )area-selective deposition}, volume={21}, ISSN={["2708-8340"]}, DOI={10.1117/1.JMM.21.4.041407}, abstractNote={Abstract. Background Extreme ultraviolet (EUV) lithography is crucial to achieving smaller device sizes for next-generation technology, although organic resists face substantial challenges, such as low etch resistance, which limit the resolution of smaller features. Aim Evaluate the potential for area-selective deposition (ASD) to improve EUV pattern resolution (e.g., by increasing etch resistance). Approach We evaluate thermal compatibility, atomic layer deposition growth rate, and selectivity for TiO2 ASD on various organic EUV resist materials using water contact angle, Rutherford backscattering spectrometry, and X-ray photoelectron spectroscopy. The effects of photo-acid generator (PAG) and EUV exposure on polymer properties and selectivity are considered. Results The organic resist materials studied demonstrate thermal compatibility with TiO2 ALD (125°C for 60 min). The TiO2 ALD process from TiCl4 and H2O proceeds readily on poly(tert-butyl methacrylate), poly(p-hydroxystyrene), and poly(p-hydroxystyrene-random-methacrylic acid) polymers, with and without PAG incorporation, in either the as-formed or EUV exposed state. However, TiO2 is inhibited on poly(cyclohexyl methacrylate). Conclusions We demonstrate that as-formed EUV resists can serve as either the growth or nongrowth surface during TiO2 ASD, thereby enabling resist hardening and tone inversion applications, respectively. These results serve as a basis for further ASD studies on EUV resist materials to improve pattern resolution in next-generation devices.}, number={4}, journal={JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3}, author={Nye, Rachel A. A. and Van Dongen, Kaat and Oka, Hironori and De Simone, Danilo and Parsons, Gregory N. N. and Delabie, Annelies}, year={2022}, month={Oct} }
@article{wang_pirzada_xie_barbieri_hossain_opperman_pal_wei_parsons_khan_2022, title={Creating hierarchically porous banana paper-metal organic framework (MOF) composites with multifunctionality}, volume={28}, ISSN={["2352-9407"]}, url={https://doi.org/10.1016/j.apmt.2022.101517}, DOI={10.1016/j.apmt.2022.101517}, abstractNote={We report a robust approach to integrate metal-organic frameworks (MOF) via vapor phase synthesis on a cost-effective and mechanically durable fibrous banana paper (BP) substrate developed from lignocellulosic biomass. The unique hollow fibrous structure of BP combined with the methodology used produces MOF-fiber composites with uniform MOF distribution and enhanced functionalities, with minimal use of organic solvents. The BP-MOF composites demonstrate a high surface area of 552 m2/g and uniform surface growth of MOF on them. Mechanical strength and bending flexibility of the substrate is well retained after the MOF growth, while the hollow tubular nature and hierarchical porosity of the BP facilitate gas diffusion. The BP-MOF composites demonstrate strong antibacterial activity with 99.2% of E.coli destroyed within the first hour of incubation. Preliminary studies with smartphone-based volatile organic compound (VOC) sensor show enhanced 1-octen-3-ol vapor absorption on BP-MOF, indicating its potential for VOC capture and sensing. We believe that the sustainable nature and flexibility of the lignocellulosic BP substrate taken together with uniform growth of MOF on the hierarchically porous BP impart impressive attributes to these composites, which can be explored in diverse applications.}, journal={APPLIED MATERIALS TODAY}, publisher={Elsevier BV}, author={Wang, Siyao and Pirzada, Tahira and Xie, Wenyi and Barbieri, Eduardo and Hossain, Oindrila and Opperman, Charles H. and Pal, Lokendra and Wei, Qingshan and Parsons, Gregory N. and Khan, Saad A.}, year={2022}, month={Aug} }
@article{morgan_willis_peterson_mahle_parsons_2022, title={Green MOF-Fabrics: Benign, Scalable Sorption-Vapor Synthesis of Catalytic Composites to Protect against Phosphorus-Based Toxins}, volume={10}, ISSN={["2168-0485"]}, url={https://doi.org/10.1021/acssuschemeng.1c07512}, DOI={10.1021/acssuschemeng.1c07512}, abstractNote={Metal–organic framework (MOF)-fabric composites are important for coupling wide-ranging MOF chemistry with portable, flexible substrates; however, synthesis methods reported to date rely on harmful solvents and typically use batch, solution-based processing. Here, using only ethanol, water, acetic acid, and γ-valerolactone solvents, we show facile synthesis of MOF-fabric composites using a scalable, sorption-vapor approach. UiO-66-NH2 was integrated onto spandex, polyethylene terephthalate, cotton, nyco, and polypropylene fabrics. MOF-fabrics made with green solvents had higher MOF loading, Brunauer–Emmett–Teller (BET) surface area, and superior performance for pesticide paraoxon-methyl hydrolysis than corresponding composites made with dimethylformamide (DMF). MOF loading, BET surface area, and performance increased with consecutive coatings of UiO-66-NH2. Process scalability was confirmed by coating 40 in.2 of fabric using only 20 mL of precursor solution, resulting in 76% total MOF heterogeneous yield. Moreover, spandex@UiO-66-NH2 composites made using benign methods outperformed those made with DMF in solid-state hydrolysis of the chemical warfare agent soman. Hong Kong University of Science and Technology (HKUST-1) and MOF-808 composites were also explored.}, number={8}, journal={ACS SUSTAINABLE CHEMISTRY & ENGINEERING}, publisher={American Chemical Society (ACS)}, author={Morgan, Sarah E. and Willis, Morgan L. and Peterson, Gregory W. and Mahle, John J. and Parsons, Gregory N.}, year={2022}, month={Feb}, pages={2699–2707} }
@article{kim_oh_parsons_2022, title={Growth behavior and substrate selective deposition of polypyrrole, polythiophene, and polyaniline by oxidative chemical vapor deposition and molecular layer deposition}, volume={40}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/6.0002036}, DOI={10.1116/6.0002036}, abstractNote={Bottom-up self-aligned area-selective deposition (ASD) plays an important role in patterning of advanced electronic devices. Specifically, ASD of organic materials can be utilized for nucleation inhibitors, sacrificial layers, and air-gap materials for next-generation nanoscale processing. This work introduces fundamental growth behavior of various conjugated polymers including polypyrrole, polythiophene, and polyaniline via oxidative molecular layer deposition and chemical vapor deposition. Effects of process parameters on film properties are described, and ASD behavior of different polymers are quantitatively characterized. These findings expand fundamental understanding of conjugated polymer deposition and provide new perspectives for ASD of organic thin films.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Kim, Jung-Sik and Oh, Hwan and Parsons, Gregory N.}, year={2022}, month={Dec} }
@article{nye_van dongen_oka_furutani_parsons_de simone_delabie_2022, title={Improving polymethacrylate EUV resists with TiO2 area-selective deposition}, volume={12055}, ISBN={["978-1-5106-4985-9"]}, ISSN={["1996-756X"]}, DOI={10.1117/12.2613815}, abstractNote={Extreme ultraviolet (EUV) lithography is crucial to achieving smaller device sizes for next-generation technology, although organic resists face substantial challenges, such as low etch resistance, which limit the resolution of smaller features. Area-selective deposition (ASD) is one potential avenue to improve pattern resolution from organic EUV resists by selectively depositing material on one region of the resist, while preventing material deposition on an adjacent region. We therefore evaluate the compatibility of various organic EUV resists with area-selective atomic layer deposition (ALD) processes, including considering the effects of photo-acid generator (PAG) and EUV exposure on polymer properties and selectivity. The thermal stability of thin resist materials at the TiO2 deposition temperature (125°C for 60 minutes) is confirmed with water contact angle and atomic force microscopy. Upon TiO2 ALD from TiCl4 and H2O, Rutherford backscattering spectrometry reveals successful TiO2 deposition on poly(tert-butyl methacrylate), poly(p-hydroxystyrene), and poly(p-hydroxystyrene-random-methacrylic acid) polymers, regardless of PAG or EUV exposure. However, TiO2 inhibition is observed on poly(cyclohexyl methacrylate). Thus, we demonstrate that EUV polymers can serve as either the growth or non-growth surface during TiO2 ASD, an insight that can be used to enable resist hardening and tone inversion applications, respectively. These results serve as a basis for further ASD studies on EUV resist materials to improve pattern resolution in next-generation devices.}, journal={ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXIX}, author={Nye, Rachel A. and Van Dongen, Kaat and Oka, Hironori and Furutani, Hajime and Parsons, Gregory and De Simone, Danilo and Delabie, Annelies}, year={2022} }
@article{nye_song_van dongen_delabie_parsons_2022, title={Mechanisms for undesired nucleation on H-terminated Si and dimethylamino-trimethylsilane passivated SiO2 during TiO2 area-selective atomic layer deposition}, volume={121}, ISSN={["1077-3118"]}, url={https://doi.org/10.1063/5.0106132}, DOI={10.1063/5.0106132}, abstractNote={During TiO2 atomic layer deposition (ALD) using TiCl4 and H2O at ∼150 °C, nucleation proceeds rapidly on hydroxylated SiO2 but is inherently delayed on passivated surfaces such as H-terminated silicon (Si-H) and trimethylsilyl-passivated SiO2 (SiO2-TMS) formed using dimethylamino-trimethylsilane (DMA-TMS) as a small molecule inhibitor. In this work, we explore details of TiO2 nucleation on both Si-H and SiO2-TMS and show that the mechanisms leading to unwanted nuclei depend strongly on the passivation mechanism. Initial growth is observed as a function of ALD cycles using scanning electron microscopy to obtain average particle size, density, and overall surface coverage fraction. Also, average film thickness vs cycle is estimated using ellipsometry or Rutherford backscattering spectrometry. Data are compared to an analytical model that considers that either nucleation sites are present on the starting non-growth surface or sites are generated during the ALD process. On the Si-H surface, data and modeling indicate that nucleation occurs predominantly from a fixed number of nucleation sites present on the starting growth surface that start to immediately grow. However, on TMS-passivated SiO2, nucleation sites are predominantly generated during the growth process so that the density of nucleation sites increases as growth proceeds. Results indicate that nucleation sites are created when adsorbed ALD reactants become kinetically trapped on the SiO2-TMS surface. This demonstrates that mechanisms associated with unwanted nucleation during area-selective deposition (ASD) can depend on details of the surface passivation scheme, thereby providing insight to help to improve ASD strategies for advanced applications.}, number={8}, journal={APPLIED PHYSICS LETTERS}, author={Nye, Rachel A. and Song, Seung Keun and Van Dongen, Kaat and Delabie, Annelies and Parsons, Gregory N.}, year={2022}, month={Aug} }
@article{gu_lee_corkery_miao_kim_yuan_xu_dai_parsons_kevrekidis_et al._2022, title={Modeling of deposit formation in mesoporous substrates via atomic layer deposition: Insights from pore-scale simulation}, volume={9}, ISSN={["1547-5905"]}, url={https://doi.org/10.1002/aic.17889}, DOI={10.1002/aic.17889}, abstractNote={AbstractAtomic layer deposition (ALD) has been a promising technique in fabricating membranes and tuning their properties with a precision at the atomic level. Fabrication of zeolitic imidazolate framework (ZIF) membranes using the ligand‐induced permselectivation (LIPS) method starts with the formation of an oxide in a mesoporous substrate by ALD and is followed by the transformation of this oxide to ZIF using imidazolate vapor treatment. The objective of the ALD step is to block the mesopores with a thin deposit, that is, one with small penetration depth and small thickness on the top surface of the substrate. Unlike typical ALD on nonporous substrates, where all available sites react per ALD cycle, thin deposit formation in a mesoporous substrate requires that only a small fraction of the available deposition sites (i.e., close to the substrate surface) is subjected to ALD. Consequently, reactant dosing and duration of pulses are important process variables which, together with diffusion and reaction kinetics determine the deposit structure. Quantitative understanding of the interplay of these variables and phenomena can enable the rational design of ALD within mesoporous substrates. Here, we extend our earlier modeling effort considering the coexistence of ALD both inside the pores and on the external surface of the substrate. Finite‐volume based models were developed and validated to simulate the two distinct modes of deposition cycle by cycle. The total mass uptake of the substrate with ALD cycles can be predicted using the combined surface deposition and pore reaction–diffusion models as affirmed by in situ quartz crystal microbalance experimental data. The ALD reactor model combined with the deposition model can accurately capture the number of ALD cycles needed to block the pores of the substrate. Based on the model, we designed a modified ALD process and examined the performance of the corresponding LIPS membranes. The present modeling work provides a new understanding of the deposit formation via ALD within mesoporous substrates for a variety of membrane applications.}, journal={AICHE JOURNAL}, author={Gu, Hao and Lee, Dennis T. and Corkery, Peter and Miao, Yurun and Kim, Jung-Sik and Yuan, Yuchen and Xu, Zhen-liang and Dai, Gance and Parsons, Gregory N. and Kevrekidis, Ioannis G. and et al.}, year={2022}, month={Sep} }
@article{hsain_lee_lancaster_lomenzo_xu_mikolajick_schroeder_parsons_jones_2023, title={Reduced fatigue and leakage of ferroelectric TiN/Hf0.5Zr0.5O2/TiN capacitors by thin alumina interlayers at the top or bottom interface}, volume={34}, ISSN={0957-4484 1361-6528}, url={http://dx.doi.org/10.1088/1361-6528/acad0a}, DOI={10.1088/1361-6528/acad0a}, abstractNote={Abstract
Hf0.5Zr0.5O2 (HZO) thin films are promising candidates for non-volatile memory and other related applications due to their demonstrated ferroelectricity at the nanoscale and compatibility with Si processing. However, one reason that HZO has not been fully scaled into industrial applications is due to its deleterious wake-up and fatigue behavior which leads to an inconsistent remanent polarization during cycling. In this study, we explore an interfacial engineering strategy in which we insert 1 nm Al2O3 interlayers at either the top or bottom HZO/TiN interface of sequentially deposited metal-ferroelectric-metal capacitors. By inserting an interfacial layer while limiting exposure to the ambient environment, we successfully introduce a protective passivating layer of Al2O3 that provides excess oxygen to mitigate vacancy formation at the interface. We report that TiN/HZO/TiN capacitors with a 1 nm Al2O3 at the top interface demonstrate a higher remanent polarization (2Pr ∼ 42 μC cm−2) and endurance limit beyond 108 cycles at a cycling field amplitude of 3.5 MV cm−1. We use time-of-flight secondary ion mass spectrometry, energy dispersive spectroscopy, and grazing incidence x-ray diffraction to elucidate the origin of enhanced endurance and leakage properties in capacitors with an inserted 1 nm Al2O3 layer. We demonstrate that the use of Al2O3 as a passivating dielectric, coupled with sequential ALD fabrication, is an effective means of interfacial engineering and enhances the performance of ferroelectric HZO devices.}, number={12}, journal={Nanotechnology}, publisher={IOP Publishing}, author={Hsain, H Alex and Lee, Younghwan and Lancaster, Suzanne and Lomenzo, Patrick D and Xu, Bohan and Mikolajick, Thomas and Schroeder, Uwe and Parsons, Gregory N and Jones, Jacob L}, year={2023}, month={Jan}, pages={125703} }
@article{hsain_lee_lancaster_materano_alcala_xu_mikolajick_schroeder_parsons_jones_2022, title={Role of Oxygen Source on Buried Interfaces in Atomic-Layer- Deposited Ferroelectric Hafnia-Zirconia Thin Films}, volume={14}, ISSN={["1944-8252"]}, DOI={10.1021/acsami.2c1107342232}, number={37}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Hsain, Hanan Alexandra and Lee, Younghwan and Lancaster, Suzanne and Materano, Monica and Alcala, Ruben and Xu, Bohan and Mikolajick, Thomas and Schroeder, Uwe and Parsons, Gregory N. and Jones, Jacob L.}, year={2022}, month={Sep}, pages={42232–42244} }
@article{hsain_lee_lancaster_materano_alcala_xu_mikolajick_schroeder_parsons_jones_2022, title={Role of Oxygen Source on Buried Interfaces in Atomic-Layer-Deposited Ferroelectric Hafnia–Zirconia Thin Films}, volume={14}, ISSN={1944-8244 1944-8252}, url={http://dx.doi.org/10.1021/acsami.2c11073}, DOI={10.1021/acsami.2c11073}, abstractNote={Hafnia-zirconia (HfO2-ZrO2) solid solution thin films have emerged as viable candidates for electronic applications due to their compatibility with Si technology and demonstrated ferroelectricity at the nanoscale. The oxygen source in atomic layer deposition (ALD) plays a crucial role in determining the impurity concentration and phase composition of HfO2-ZrO2 within metal-ferroelectric-metal devices, notably at the Hf0.5Zr0.5O2 /TiN interface. The interface characteristics of HZO/TiN are fabricated via sequential no-atmosphere processing (SNAP) with either H2O or O2-plasma to study the influence of oxygen source on buried interfaces. Time-of-flight secondary ion mass spectrometry reveals that HZO films grown via O2-plasma promote the development of an interfacial TiOx layer at the bottom HZO/TiN interface. The presence of the TiOx layer leads to the development of 111-fiber texture in HZO as confirmed by two-dimensional X-ray diffraction (2D-XRD). Structural and chemical differences between HZO films grown via H2O or O2-plasma were found to strongly affect electrical characteristics such as permittivity, leakage current density, endurance, and switching kinetics. While HZO films grown via H2O yielded a higher remanent polarization value of 25 μC/cm2, HZO films grown via O2-plasma exhibited a comparable Pr of 21 μC/cm2 polarization and enhanced field cycling endurance limit by almost 2 orders of magnitude. Our study illustrates how oxygen sources (O2-plasma or H2O) in ALD can be a viable way to engineer the interface and properties in HZO thin films.}, number={37}, journal={ACS Applied Materials & Interfaces}, publisher={American Chemical Society (ACS)}, author={Hsain, Hanan Alexandra and Lee, Younghwan and Lancaster, Suzanne and Materano, Monica and Alcala, Ruben and Xu, Bohan and Mikolajick, Thomas and Schroeder, Uwe and Parsons, Gregory N. and Jones, Jacob L.}, year={2022}, month={Sep}, pages={42232–42244} }
@article{lee_broughton_hsain_song_edgington_horgan_dowden_bednar_lee_parsons_et al._2022, title={The influence of crystallographic texture on structural and electrical properties in ferroelectric Hf0.5Zr0.5O2}, volume={132}, ISSN={0021-8979 1089-7550}, url={http://dx.doi.org/10.1063/5.0128038}, DOI={10.1063/5.0128038}, abstractNote={Ferroelectric (Hf,Zr)O2 thin films have attracted increased interest from the ferroelectrics community and the semiconductor industry due to their ability to exhibit ferroelectricity at nanoscale dimensions. The properties and performance of the ferroelectric (Hf,Zr)O2 films generally depend on various factors such as surface energy (e.g., through grain size or thickness), defects (e.g., through dopants, oxygen vacancies, or impurities), electrodes, interface quality, and preferred crystallographic orientation (also known as crystallographic texture or simply texture) of grains and/or domains. Although some factors affecting properties and performance have been studied extensively, the effects of texture on the material properties are still not understood. Here, the influence of texture of the bottom electrode and Hf0.5Zr0.5O2 (HZO) films on properties and performance is reported. The uniqueness of this work is the use of a consistent deposition process known as Sequential, No-Atmosphere Processing (SNAP) that produces films with different preferred orientations yet minimal other differences. The results shown in this study provide both new insight on the importance of the bottom electrode texture and new fundamental processing-structure–property relationships for the HZO films.}, number={24}, journal={Journal of Applied Physics}, publisher={AIP Publishing}, author={Lee, Younghwan and Broughton, Rachel A. and Hsain, H. Alex and Song, Seung Keun and Edgington, Patrick G. and Horgan, Madison D. and Dowden, Amy and Bednar, Amanda and Lee, Dong Hyun and Parsons, Gregory N. and et al.}, year={2022}, month={Dec} }
@article{morgan_willis_dianat_peterson_mahle_parsons_2022, title={Toxin-Blocking Textiles: Rapid, Benign, Roll-to-Roll Production of Robust MOF-Fabric Composites for Organophosphate Separation and Hydrolysis}, volume={11}, ISSN={["1864-564X"]}, url={https://doi.org/10.1002/cssc.202201744}, DOI={10.1002/cssc.202201744}, abstractNote={AbstractCurrent approaches to create zirconium‐based metal–organic framework (MOF) fabric composites for catalysis, water purification, wound healing, gas sorption, and other applications often rely on toxic solvents, long reaction/post processing times, and batch methods hindering process scalability. Here, a novel mechanism was reported for rapid UiO‐66‐NH2 synthesis in common low‐boiling‐point solvents (water, ethanol, and acetic acid) and revealed acid–base chemistry promoting full linker dissolution and vapor‐based crystallization. The mechanism enabled scalable roll‐to‐roll production of mechanically resilient UiO‐66‐NH2 fabrics with superior chemical protective capability. Solvent choice and segregated spray delivery of organic linker and metal salt MOF precursor solutions allowed for rapid MOF nucleation on the fiber surface and decreased the energy and time needed for post‐processing, producing an activated composite in less than 165 min, far outpacing conventional MOF‐fabric synthesis approaches. The MOF‐fabric hydrolyzed and blocked permeation of the chemical warfare agent soman, outperforming the protection‐standard activated carbon cloth. This work presents both chemical insights into Zr‐MOF powder and fabric composite formation by a rapid, industrially relevant approach and demonstrates its practicality and affordability for high‐performing personal protective equipment.}, journal={CHEMSUSCHEM}, author={Morgan, Sarah E. E. and Willis, Morgan L. L. and Dianat, Golnaz and Peterson, Gregory W. W. and Mahle, John J. J. and Parsons, Gregory N. N.}, year={2022}, month={Nov} }
@article{chen_dai_chen_parsons_chang_2021, title={Fabrication of Non-Uniform Nanolattices with Spatially Varying Geometry and Material Composition}, volume={7}, ISSN={["2196-7350"]}, DOI={10.1002/admi.202100690}, abstractNote={AbstractThe fabrication of periodic 3D nanostructures with uniform material properties has been widely investigated and is important for applications in photonics, mechanics, and energy storage. However, creating nanostructures with spatially varying lattice geometry and material composition is still largely an unexplored challenge in nanofabrication. This work presents the fabrication of non‐uniform nanolattices by patterning multiple layers of 3D nanostructures using phase shift lithography and atomic layer deposition. By controlling the processing parameters, the lattice geometry and material composition of each individual nanolattice layer can be tailored to create arbitrary material property profiles. Using the proposed method, a five‐layer nanolattice with spatially varying porosity and oxide materials has been demonstrated. This process can be used to create gradient‐index antireflection nanostructures, and a fabricated four‐layer nanolattice structure consisting of TiO2 and Al2O3 with gradually varying porosity reduces more than 90% of the specular reflectance from a silicon substrate. By enabling nanolattices with arbitrary profiles in physical properties, the demonstrated technique can find broad applications in nanophotonics, graded filters, energy storage systems, and nanoarchitected films.}, journal={ADVANCED MATERIALS INTERFACES}, author={Chen, I-Te and Dai, Zijian and Chen, Yi-An and Parsons, Gregory N. and Chang, Chih-Hao}, year={2021}, month={Jul} }
@misc{peterson_lee_barton_epps_parsons_2021, title={Fibre-based composites from the integration of metal-organic frameworks and polymers}, volume={6}, ISSN={["2058-8437"]}, url={https://doi.org/10.1038/s41578-021-00291-2}, DOI={10.1038/s41578-021-00291-2}, number={7}, journal={NATURE REVIEWS MATERIALS}, author={Peterson, Gregory W. and Lee, Dennis T. and Barton, Heather F. and Epps, Thomas H., III and Parsons, Gregory N.}, year={2021}, month={Jul}, pages={605–621} }
@article{dai_pradeep_zhu_xie_barton_si_ding_yu_parsons_2021, title={Freestanding Metal Organic Framework-Based Multifunctional Membranes Fabricated via Pseudomorphic Replication toward Liquid- and Gas-Hazards Abatement}, volume={10}, ISSN={["2196-7350"]}, url={https://doi.org/10.1002/admi.202101178}, DOI={10.1002/admi.202101178}, abstractNote={AbstractMetal organic framework (MOF)‐based adsorptive membranes are attractive materials in high flux separation and/or in abatement of hazardous materials. However, fabrication of continuous MOF membrane with ultra‐high loading and anti‐solvent property is a challenging task. Here, the authors report a coordination replication synthetic approach via a morphological replacement procedure that employs pre‐shaped Al2O3 microfiltration membrane to produce freestanding MOF multifunctional membrane (FMMMs). The authors achieve precise control of crystal size, morphology, and orientation on the FMMMs. Moreover, the intrinsic porous structure inherited from Al2O3 pre‐fabricated membrane and newly introduced MOF active sites synergistically contribute to a great rejection (99%) of organic dyes from organic solvent with a permeability over 175 L m−2 h−1 bar−1. Furthermore, the as‐synthesized FMMMs enable heterogeneous HCHO catalytic oxidation and extremely rapid 2‐chloroethyl ethyl sulfide (CEES) detoxification. This work opens the way to design high‐performance FMMMs from pre‐shaped membranes for various applications.}, journal={ADVANCED MATERIALS INTERFACES}, publisher={Wiley}, author={Dai, Zijian and Pradeep, Shravan and Zhu, Jie and Xie, Wenyi and Barton, Heather F. and Si, Yang and Ding, Bin and Yu, Jianyong and Parsons, Gregory N.}, year={2021}, month={Oct} }
@article{lee_dai_peterson_hall_pomerantz_hoffman_parsons_2021, title={Highly Breathable Chemically-Protective MOF-Fiber Catalysts}, volume={10}, ISSN={["1616-3028"]}, DOI={10.1002/adfm.202108004}, abstractNote={AbstractFibrous composite materials provide distinct advantages in large surface area and enhanced molecular transport through the media, lending themselves to diverse applications. Despite substantial development in synthetic methods, it is still lacking in insights into structure–property relationships that can correlate features of the functional materials to absorptive, transport, and catalytic performance of the composites. Herein, for the first time, a systematic structure–property–function analysis is provided for Zr‐based metal–organic frameworks (MOFs) coated onto polypropylene nonwoven textiles. MOF fraction on the fabric and defect density in MOF microstructures are controlled by an in situ seeded growth, where fiber surfaces are pretreated with metal‐oxide by atomic layer deposition. The best performing MOF‐fiber composite shows a rapid catalytic hydrolysis rate for a chemical warfare agent simulant, p‐nitrophenyl phosphate with t1/2 < 5 min, and a significant permeation restriction of a real agent GD‐vapor through the composite. Of added advantage is the observed moisture vapor transport rate of 15 000 g m−2 day−1 for the composite, which is notably superior to that of other commercially available chemical‐protective fabrics. The chemical‐protective composites realized in this work overcome the breathability/detoxification trade‐off and show promise for the materials to be deployed in a realistic field.}, journal={ADVANCED FUNCTIONAL MATERIALS}, author={Lee, Dennis T. and Dai, Zijian and Peterson, Gregory W. and Hall, Morgan G. and Pomerantz, Natalie L. and Hoffman, Nicole and Parsons, Gregory N.}, year={2021}, month={Oct} }
@article{nye_wang_uhlenbrock_smythe_parsons_2021, title={In situ analysis of growth rate evolution during molecular layer deposition of ultra-thin polyurea films using aliphatic and aromatic precursors}, volume={51}, ISSN={["1477-9234"]}, url={https://doi.org/10.1039/D1DT03689K}, DOI={10.1039/D1DT03689K}, abstractNote={MLD growth evolution depends on the deposition surface and monomer structure of the deposited film.}, number={5}, journal={DALTON TRANSACTIONS}, publisher={Royal Society of Chemistry (RSC)}, author={Nye, Rachel A. and Wang, Siyao and Uhlenbrock, Stefan and Smythe, John A., III and Parsons, Gregory N.}, year={2021}, month={Dec} }
@article{hsain_lee_materano_mittmann_payne_mikolajick_schroeder_parsons_jones_2021, title={Many routes to ferroelectric HfO2: A review of current deposition methods}, volume={40}, ISSN={0734-2101 1520-8559}, url={http://dx.doi.org/10.1116/6.0001317}, DOI={10.1116/6.0001317}, abstractNote={Although 10 years have passed since the initial report of ferroelectricity in hafnia (HfO2), researchers are still intensely fascinated by this material system and the promise it holds for future applications. A wide variety of deposition methods have been deployed to create ferroelectric HfO2 thin films such as atomic layer deposition, chemical solution deposition, and physical vapor deposition methods such as sputtering and pulsed laser deposition. Process and design parameters such as deposition temperature, precursor choice, target source, vacuum level, reactive gases, substrate strain, and many others are often integral in stabilizing the polar orthorhombic phase and ferroelectricity. We examine processing parameters across four main different deposition methods and their effect on film microstructure, phase evolution, defect concentration, and resultant electrical properties. The goal of this review is to integrate the process knowledge collected over the past 10 years in the field of ferroelectric HfO2 into a single comprehensive guide for the design of future HfO2-based ferroelectric materials and devices.}, number={1}, journal={Journal of Vacuum Science & Technology A}, publisher={American Vacuum Society}, author={Hsain, Hanan Alexandra and Lee, Younghwan and Materano, Monica and Mittmann, Terence and Payne, Alexis and Mikolajick, Thomas and Schroeder, Uwe and Parsons, Gregory N. and Jones, Jacob L.}, year={2021}, month={Dec} }
@article{song_kim_margavio_parsons_2021, title={Multimaterial Self-Aligned Nanopatterning by Simultaneous Adjacent Thin Film Deposition and Etching}, volume={15}, ISSN={["1936-086X"]}, url={https://doi.org/10.1021/acsnano.1c04086}, DOI={10.1021/acsnano.1c04086}, abstractNote={Printed component sizes in electronic circuits are approaching 10 nm, but inherent variability in feature alignment during photolithography poses a fundamental barrier for continued device scaling. Deposition-based self-aligned patterning is being introduced, but nuclei defects remain an overarching problem. This work introduces low-temperature chemically self-aligned film growth via simultaneous thin film deposition and etching in adjacent regions on a nanopatterned surface. During deposition, nucleation defects are avoided in nongrowth regions because deposition reactants are locally consumed via sacrificial etching. For a range of materials and process conditions, thermodynamic modeling confirms that deposition and etching are both energetically favorable. We demonstrate nanoscale patterning of tungsten at 220 °C with simultaneous etching of TiO2. Area selective deposition (ASD) of the sacrificial TiO2 layer produces an orthogonal sequence for self-aligned patterning of two materials on one starting pattern, i.e., TiO2 ASD on SiO2 followed by W ASD on Si-H. Experiments also show capacity for self-aligned dielectric patterning via favorable deposition of AlF3 on Al2O3 at 240 °C with simultaneous atomic layer etching of sacrificial ZnO. Simultaneous deposition and etching provides opportunities for low-temperature bottom-up self-aligned patterning for electronic and other nanoscale systems.}, number={7}, journal={ACS NANO}, publisher={American Chemical Society (ACS)}, author={Song, Seung Keun and Kim, Jung-Sik and Margavio, Hannah R. M. and Parsons, Gregory N.}, year={2021}, month={Jul}, pages={12276–12285} }
@article{kim_parsons_2021, title={Nanopatterned Area-Selective Vapor Deposition of PEDOT on SiO2 vs Si-H: Improved Selectivity Using Chemical Vapor Deposition vs Molecular Layer Deposition}, volume={33}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.1c02842}, DOI={10.1021/acs.chemmater.1c02842}, abstractNote={Area-selective deposition (ASD) of polymers is expected to be useful for self-aligned patterning of nucleation inhibitors, sacrificial layers, and air-gap materials during future bottom-up nanoscale materials fabrication. This work describes a simple, rapid, and effective method to achieve inherent ASD of poly(3,4-ethylenedioxythiophene) (PEDOT) on SiO2 vs hydrogen-terminated silicon (Si-H) substrates via molecular layer deposition (MLD) and chemical vapor deposition (CVD) using 3,4-ethylenedioxythiophene (EDOT) as a reactive monomer and SbCl5 as an oxidant for polymerization. Film thickness measured by spectroscopic ellipsometry indicates the MLD process can obtain ∼35 nm of deposition with a selectivity of 90%, i.e., tS=0.90 ≈ 35 nm, which is better than many other reports of inorganic or organic material ASD. Furthermore, we show that under CVD conditions, the selectivity is further improved, i.e., tS=0.90 ≈ 55.4 nm and that CVD can achieve ASD at an overall rate more than 100 times faster than MLD for the same ASD thickness, allowing 30 nm of ASD to be achieved in less than 10 s of process time. The selective growth of PEDOT on SiO2 vs Si-H is ascribed to the localized reduction of the SbCl5 on the Si-H surface, thereby inhibiting EDOT polymerization in that region. The high selectivity allows us to observe and analyze lateral "mushroom" overgrowth and compare ASD growth rates on blanket vs patterned wafers. Overall, results suggest that CVD may have distinct advantages over MLD or atomic layer deposition (ALD) for other ASD applications.}, number={23}, journal={CHEMISTRY OF MATERIALS}, publisher={American Chemical Society (ACS)}, author={Kim, Jung-Sik and Parsons, Gregory N.}, year={2021}, month={Dec}, pages={9221–9230} }
@article{volk_kim_jamir_dickey_parsons_2021, title={Oxidative molecular layer deposition of PEDOT using volatile antimony(V) chloride oxidant}, volume={39}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/6.0000791}, DOI={10.1116/6.0000791}, abstractNote={Molecular layer deposition and chemical vapor deposition are emerging and promising techniques for the incorporation of high-performance conductive polymers into high surface area devices, such as sintered tantalum anodes for electrolytic capacitors. Until recently, vapor-phase synthesis of poly(3,4-ethylenedioxythiophene) (PEDOT) has relied on solid reactants which require relatively high temperatures and complex dosing schemes for sequential layer-by-layer processes. This work introduces a facile and high-performing layer-by-layer oxidative molecular layer deposition (oMLD) scheme using the volatile liquid oxidant antimony(V) chloride (SbCl5) to deposit PEDOT thin films. Effects of reactor parameters on PEDOT film characteristics are described, and the necessary foundation for future studies aiming to understand the nucleation and growth of layer-by-layer oMLD PEDOT is detailed.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, publisher={American Vacuum Society}, author={Volk, Amanda A. and Kim, Jung-Sik and Jamir, Jovenal and Dickey, Elizabeth C. and Parsons, Gregory N.}, year={2021}, month={May} }
@article{morgan_andie m. o'connell_jansson_peterson_mahle_eldred_gao_parsons_2021, title={Stretchable and Multi-Metal-Organic Framework Fabrics Via High-Yield Rapid Sorption-Vapor Synthesis and Their Application in Chemical Warfare Agent Hydrolysis}, volume={13}, ISSN={["1944-8252"]}, url={https://doi.org/10.1021/acsami.1c07366}, DOI={10.1021/acsami.1c07366}, abstractNote={Protocols to create metal-organic framework (MOF)/polymer composites for separation, chemical capture, and catalytic applications currently rely on relatively slow solution-based processing to form single MOF composites. Here, we report a rapid, high-yield sorption-vapor method for direct simultaneous growth of single and multiple MOF materials onto untreated flexible and stretchable polymer fibers and films. The synthesis utilizes favorable reactant absorption into polymers coupled with rapid vapor-driven MOF crystallization to form high surface area (>250 m2/gcomposite) composites, including UiO-66-NH2, HKUST-1, and MOF-525 on spandex, nylon, and other fabrics. The resulting composites are robust and maintain their functionality even after stretching. Stretchable MOF fabrics enable rapid solid-state hydrolysis of the highly toxic chemical warfare agent soman and paraoxon-methyl simulant. We show that this approach can readily be scaled by solution spray-coating of MOF precursors and to large area substrates.}, number={26}, journal={ACS APPLIED MATERIALS & INTERFACES}, publisher={American Chemical Society (ACS)}, author={Morgan, Sarah E. and Andie M. O'Connell and Jansson, Anton and Peterson, Gregory W. and Mahle, John J. and Eldred, Tim B. and Gao, Wenpei and Parsons, Gregory N.}, year={2021}, month={Jul}, pages={31279–31284} }
@article{limbu_adhikari_song_chitara_tang_parsons_yan_2021, title={Toward understanding the phase-selective growth mechanism of films and geometrically-shaped flakes of 2D MoTe2}, volume={11}, ISSN={["2046-2069"]}, DOI={10.1039/d1ra07787b}, abstractNote={This study investigates the phase-controlled growth of flakes and films of 2D MoTe2 by atmospheric-pressure chemical vapor deposition and presents a thorough understanding on the growth mechanism.}, number={61}, journal={RSC ADVANCES}, author={Limbu, Tej B. and Adhikari, Bikram and Song, Seung Keun and Chitara, Basant and Tang, Yongan and Parsons, Gregory N. and Yan, Fei}, year={2021}, month={Dec}, pages={38839–38848} }
@article{lee_alex hsain_fields_jaszewski_horgan_edgington_ihlefeld_parsons_jones_2021, title={Unexpectedly large remanent polarization of Hf0.5Zr0.5O2 metal–ferroelectric–metal capacitor fabricated without breaking vacuum}, volume={118}, ISSN={0003-6951 1077-3118}, url={http://dx.doi.org/10.1063/5.0029532}, DOI={10.1063/5.0029532}, abstractNote={We introduce an Atomic Layer Deposition (ALD) technique referred to here as Sequential, No-Atmosphere Processing (SNAP) to fabricate ferroelectric Hf0.5Zr0.5O2 capacitors in Metal–Ferroelectric–Metal (MFM) structures. SNAP involves the ALD of each layer sequentially while maintaining the sample under vacuum process conditions without ambient exposure during the entire sequential deposition processes. We first use plasma enhanced ALD to fabricate 002-textured TiN films and study the degree of texture and quality of the film by X-ray Diffraction (XRD), Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS), and transmission electron microscopy. Building upon the textured TiN film, we fabricate MFM capacitors with 10-nm-thick Hf0.5Zr0.5O2 via SNAP deposition and observe an unexpectedly large remanent polarization (2Pr = 54.2 μC/cm2). We report that annealing at T <800 °C and at T = 800 °C results in different ferroelectric behaviors and phases determined by grazing incidence XRD patterns. We infer that the nonpolar tetragonal phase is dominant in films treated at T <800 °C, whereas the polar orthorhombic phase is dominant in films treated at T = 800 °C. Using ToF-SIMS and x-ray spectroscopy depth profiling on MFM capacitors, we observe an increase in the concentration of defects in the Hf0.5Zr0.5O2 layer after annealing. We believe that the absence of the native passive layer between Hf0.5Zr0.5O2 and TiN layers made via SNAP deposition is responsible for the unexpectedly large remanent polarization. In addition, we associate the 002-textured TiN as potentially playing a role in realizing the unexpectedly large remanent polarization.}, number={1}, journal={Applied Physics Letters}, publisher={AIP Publishing}, author={Lee, Younghwan and Alex Hsain, H. and Fields, Shelby S. and Jaszewski, Samantha T. and Horgan, Madison D. and Edgington, Patrick G. and Ihlefeld, Jon F. and Parsons, Gregory N. and Jones, Jacob L.}, year={2021}, month={Jan} }
@article{dai_zhu_yan_su_gao_zhang_ke_parsons_2020, title={An Advanced Dual-Function MnO2-Fabric Air Filter Combining Catalytic Oxidation of Formaldehyde and High-Efficiency Fine Particulate Matter Removal}, volume={30}, ISSN={["1616-3028"]}, url={https://doi.org/10.1002/adfm.202001488}, DOI={10.1002/adfm.202001488}, abstractNote={AbstractComprehensive treatment of indoor contaminants such as volatile organic compounds (VOCs) and fine particulate matter (PM2.5) using transition metal oxide catalysts or functional fibrous filters has gained substantial attention recently. However, coupling VOC oxidation catalysts into high‐performance filter systems remains a challenge. Herein, an overall solution to strongly bind manganese dioxide (MnO2) nanocrystals onto polypropylene (PP) nonwoven fabrics is provided. For the first time, uniform heterogeneous nucleation and growth of MnO2 onto PP nonwoven fabrics using intermediate inorganic nucleation films, including Al2O3, TiO2, and ZnO, formed conformally on the fabrics via atomic layer deposition (ALD) are demonstrated. How different ALD thin films influence the crystallinity, morphology, surface area, and surface oxygen species of the MnO2 grown ALD‐coated PP fibers is further investigated. In addition to uniformity and integrity, ZnO thin films give rise to MnO2 crystals with the largest fraction of available surface oxygen, enabling 99.5% catalytic oxidation of formaldehyde within 60 min. Moreover, the metal oxide filters provide excellent PM removal efficiencies (ePM), achieving ePM2.5 90% and ePM10 98%, respectively, making the approach an outstanding method to produce fully dual‐functional filtration media.}, number={42}, journal={ADVANCED FUNCTIONAL MATERIALS}, publisher={Wiley}, author={Dai, Zijian and Zhu, Jie and Yan, Jiaqi and Su, Jiafei and Gao, Yunfei and Zhang, Xing and Ke, Qinfei and Parsons, Gregory N.}, year={2020}, month={Oct} }
@misc{parsons_clark_2020, title={Area-Selective Deposition: Fundamentals, Applications, and Future Outlook}, volume={32}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.0c00722}, DOI={10.1021/acs.chemmater.0c00722}, abstractNote={This article provides an overview of area-selective thin film deposition (ASD) with primary focus on vapor-phase thin film formation via chemical vapor deposition (CVD) and atomic layer deposition ...}, number={12}, journal={CHEMISTRY OF MATERIALS}, publisher={American Chemical Society (ACS)}, author={Parsons, Gregory N. and Clark, Robert D.}, year={2020}, month={Jun}, pages={4920–4953} }
@article{hsain_lee_parsons_jones_2020, title={Compositional dependence of crystallization temperatures and phase evolution in hafnia-zirconia (HfxZr1−x)O2 thin films}, volume={116}, ISSN={0003-6951 1077-3118}, url={http://dx.doi.org/10.1063/5.0002835}, DOI={10.1063/5.0002835}, abstractNote={Polymorphic (HfxZr1−x)O2 (HZO) thin films exhibit ferroelectric, dielectric, and antiferroelectric properties across a wide compositional range due to the existence of orthorhombic, monoclinic, and tetragonal phases. To better understand the phase stability across the HfO2–ZrO2 compositional range, we investigate the structural evolution of HZO thin films in situ via high-temperature x-ray diffraction (HTXRD) for five different compositions [ZrO2, (Hf0.23Zr0.77)O2, (Hf0.43Zr0.57)O2, (Hf0.67Zr0.33)O2, and HfO2]. The real-time monitoring of HZO crystallization reveals a competing driving force between the tetragonal and monoclinic phase stabilities for HfO2-rich vs ZrO2-rich compositions. Additionally, we confirm an XRD peak shift toward lower 2θ with increasing temperature in ZrO2, (Hf0.23Zr0.77)O2, and (Hf0.43Zr0.57)O2 films, which we ascribe to the appearance of a metastable orthorhombic phase during heating. A monotonic trend for the onset crystallization temperature is reported for five compositions of HZO and reveals an increase in onset crystallization temperature for HfO2-rich compositions. Relative intensity fraction calculations suggest a higher fraction of monoclinic phase with increasing annealing temperature for (Hf0.67Zr0.33)O2. This study of phase stability and onset crystallization temperatures offers insight for managing the thermal budget for HZO thin films, especially for temperature-constrained processing.}, number={19}, journal={Applied Physics Letters}, publisher={AIP Publishing}, author={Hsain, H. Alex and Lee, Younghwan and Parsons, Gregory and Jones, Jacob L.}, year={2020}, month={May} }
@article{wang_shi_tripathi_chakraborty_parsons_khan_2020, title={Designing Intrinsically Microporous Polymer (PIM-1) Microfibers with Tunable Morphology and Porosity via Controlling Solvent/Nonsolvent/Polymer Interactions}, volume={2}, url={https://doi.org/10.1021/acsapm.0c00386}, DOI={10.1021/acsapm.0c00386}, abstractNote={In this study, we present the fabrication of fibers with tunable morphology and porosity from an intrinsically microporous polymer, PIM-1, using a simple one-step electrospinning technique. The met...}, number={6}, journal={ACS Applied Polymer Materials}, publisher={American Chemical Society (ACS)}, author={Wang, Siyao and Shi, Kaihang and Tripathi, Anurodh and Chakraborty, Ushno and Parsons, Gregory N. and Khan, Saad A.}, year={2020}, month={Jun}, pages={2434–2443} }
@article{barton_jamir_davis_peterson_parsons_2021, title={Doubly Protective MOF-Photo-Fabrics: Facile Template-Free Synthesis of PCN-222-Textiles Enables Rapid Hydrolysis, Photo-Hydrolysis and Selective Oxidation of Multiple Chemical Warfare Agents and Simulants}, volume={27}, ISSN={["1521-3765"]}, url={https://doi.org/10.1002/chem.202003716}, DOI={10.1002/chem.202003716}, abstractNote={AbstractNew materials and chemical knowledge for improved personal protection are among the most pressing needs in the international community. Reported attacks using chemical warfare agents (CWAs,) including organophosphate soman (GD) and thioether mustard gas (HD) are driving research in field‐deployable catalytic composites for rapid toxin degradation. In this work, we report simple template‐free low temperature synthesis that enables for the first time, a deployable‐structured catalytic metal‐organic framework/polymer textile composite “MOF‐fabric” showing rapid hydrolysis and oxidation of multiple active chemical warfare agents, GD and HD, respectively, and their simulants. Our method yields new zirconium–porphyrin based nano‐crystalline PCN‐222 MOF‐fabrics with adjustable MOF loading and robust mechanical adhesion on low‐cost nonwoven polypropylene fibers. Importantly, we describe quantitative kinetic analysis confirming that our MOF‐fabrics are as effective as or better than analogous MOF powders for agent degradation, especially for oxidation. Faster oxidation using the MOF‐fabrics is ascribed to the composite geometry, where active MOF catalysts are uniformly displayed on the MOF‐textile enabling better reactant transport and reactive oxidant generation. Furthermore, we note the discovery of visible photo‐activation of GD hydrolysis by a MOF‐fabric, which is ascribed to oxidation at the active metal node site, significantly increasing the rate over that observed without illumination. These results provide important new insights into the design of future materials and chemical systems to protect military, first‐responders, and civilians upon exposure to complex chemical toxins.}, number={4}, journal={CHEMISTRY-A EUROPEAN JOURNAL}, publisher={Wiley}, author={Barton, Heather F. and Jamir, Jovenal D. and Davis, Alexandra K. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2021}, month={Jan}, pages={1465–1472} }
@article{saare_song_kim_parsons_2020, title={Effect of reactant dosing on selectivity during area-selective deposition of TiO2 via integrated atomic layer deposition and atomic layer etching}, volume={128}, ISSN={["1089-7550"]}, url={https://doi.org/10.1063/5.0013552}, DOI={10.1063/5.0013552}, abstractNote={A key hallmark of atomic layer deposition (ALD) is that it proceeds via self-limiting reactions. For a good ALD process, long reactant exposure times beyond that required for saturation on planar substrates can be useful, for example, to achieve conformal growth on high aspect ratio nanoscale trenches, while maintaining consistent deposition across large-area surfaces. Area-selective deposition (ASD) is becoming an enabling process for nanoscale pattern modification on advanced nanoelectronic devices. Herein, we demonstrate that during area-selective ALD, achieved by direct coupling of ALD and thermal atomic layer etching (ALE), excess reactant exposure can have a substantially detrimental influence on the extent of selectivity. As an example system, we study ASD of TiO2 on hydroxylated SiO2 (Si–OH) vs hydrogen-terminated (100) Si (Si–H) using TiCl4/H2O for ALD and WF6/BCl3 for ALE. Using in situ spectroscopic ellipsometry and ex situ x-ray photoelectron spectroscopy, we show that unwanted nucleation can be minimized by limiting the water exposure during the ALD steps. Longer exposures markedly increased the rate of nucleation and growth on the desired non-growth region, thereby degrading selectivity. Specifically, transmission electron microscopy analysis demonstrated that near-saturated H2O doses enabled 32.7 nm thick TiO2 patterns at selectivity threshold S > 0.9 on patterned Si/SiO2 substrates. The correlation between selectivity and reactant exposure serves to increase fundamental insights into the effects of sub-saturated self-limiting surface reactions on the quality and effectiveness of ASD processes and methods.}, number={10}, journal={JOURNAL OF APPLIED PHYSICS}, author={Saare, Holger and Song, Seung Keun and Kim, Jung-Sik and Parsons, Gregory N.}, year={2020}, month={Sep} }
@article{dai_lee_shi_wang_barton_zhu_yan_ke_parsons_2020, title={Fabrication of a freestanding metal organic framework predominant hollow fiber mat and its potential applications in gas separation and catalysis}, url={https://doi.org/10.1039/C9TA11701F}, DOI={10.1039/C9TA11701F}, abstractNote={Freestanding MOF predominant hollow fiber mats are fabricatedviaan oxide-to-MOF conversion approach. The unique hollow fiber mats show promising potential in CO2adsorption, CO2/N2separation, and VOC abatement applications.}, journal={Journal of Materials Chemistry A}, publisher={Royal Society of Chemistry (RSC)}, author={Dai, Zijian and Lee, Dennis T. and Shi, Kaihang and Wang, Siyao and Barton, Heather F. and Zhu, Jie and Yan, Jiaqi and Ke, Qinfei and Parsons, Gregory N.}, year={2020} }
@article{parsons_elam_george_haukka_jeon_kessels_leskelae_poodt_ritala_rossnagel_2020, title={History of atomic layer deposition and its relationship with the American Vacuum Society (vol 31, 050818, 2013)}, volume={38}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/6.0000143}, DOI={10.1116/6.0000143}, abstractNote={The authors of this review article published in 20131 would like to correct some text and references relating to the first observations and publications on molecular layering. In Sec. II, “Early Years of Atomic Layer Processes” in the original article,1 the first two sentences of the fourth paragraph should instead say “The ALD principle, where surface reactions follow a binary sequence of self-limiting half-reactions, was reported under the name ‘molecular layering’ in the 1960s by S. I. Kol’tsov from Leningrad Technological Institute.2–6 These experiments were conducted under the scientific supervision of V. B. Aleskovskii. The ‘framework hypothesis,’ an antecedent to molecular layering, was proposed by V. B. Aleskovskii in 1952.6” In addition, again in this same section and paragraph, the last two sentences should instead say “In the 1969 article,3 the authors report that the initial reaction between TiCl4 and Si–OH tends to involve 3 Si–OH, forming one Ti–Cl, whereas after the first water step, the second TiCl4 exposure reacts with 2 Ti–OH, forming Ti–Cl2 groups. In the 1969 paper,3 a planar thin film was not produced or evaluated, although nanolayers were prepared by molecular layering at that time.6” These corrections do not affect other sections or the conclusions drawn in the article.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Parsons, Gregory N. and Elam, Jeffrey W. and George, Steven M. and Haukka, Suvi and Jeon, Hyeongtag and Kessels, W. M. M. and Leskelae, Markku and Poodt, Paul and Ritala, Mikko and Rossnagel, Steven M.}, year={2020}, month={May} }
@article{wang_pomerantz_dai_xie_anderson_miller_khan_parsons_2020, title={Polymer of intrinsic microporosity (PIM) based fibrous mat: combining particle filtration and rapid catalytic hydrolysis of chemical warfare agent simulants into a highly sorptive, breathable, and mechanically robust fiber matrix}, volume={8}, url={https://doi.org/10.1016/j.mtadv.2020.100085}, DOI={10.1016/j.mtadv.2020.100085}, abstractNote={Highly selective and lightweight protective suits featuring excellent breathability, mechanical robustness, and catalytic degradation performance toward chemical warfare agents (CWAs) are highly desirable for first responders and the military. However, current multilayered state-of-the-art chemical/biological (CB) protective textiles containing activated carbon and separate aerosol-protective layers exhibit several drawbacks including high thermal burden and secondary contamination. Herein, we present for the first time, a highly sorptive, breathable, and mechanically strong aerosol-protective layered fabric with prominent catalytic degradation capability of CWA simulant, through novel material selection and engineering design. The electrospun polymer of intrinsic microporosity (PIM-1) fiber web with hierarchical porosity is used as a matrix material, preventing toxic gas penetration while providing pathways for air and water vapor molecules. Polyacrylonitrile (PAN) nanofibers assembled with PIM-1 fibers via a layer-by-layer electrospun-deposition approach are shown to achieve significantly enhanced mechanical integrity and filtration efficiency, due to the high polar chemical structure and small fiber diameter of PAN. The subsequent incorporation of UiO-66-NH2 particles, a Zr-based metal-organic framework (MOF), further enhances the sorption capacity while maintaining excellent filtration efficiency, mechanical strength, and breathability, and also endows the fiber web with remarkable catalytic degradation towards CWA simulants. The resulting PIM/PAN/MOF composite fiber mat demonstrates unprecedented integrated properties with water vapor transmission rate of 1,013 g/m2·24 h, surface area of 574 m2/g, increased tensile strength (more than 70 times compared to neat PIM-1 fiber web), and PM2.5 and PM10 filtration efficiency of 99.88% and 99.94%, respectively, comparable to commercial polypropylene (PP) non-woven textile. This facile and effective fabrication of such a multifunctional composite fiber mat is valuable for the design of protective garments in health care, personal protective gear, and law enforcement and military uniforms.}, journal={Materials Today Advances}, publisher={Elsevier BV}, author={Wang, S. and Pomerantz, N.L. and Dai, Z. and Xie, W. and Anderson, E.E. and Miller, T. and Khan, S.A. and Parsons, G.N.}, year={2020}, month={Dec}, pages={100085} }
@article{matveev_dlott_hanusova_maria_nye_parsons_2020, title={Shock Initiation of Reactive Nanolaminates}, volume={2272}, ISSN={["0094-243X"]}, DOI={10.1063/12.0000877}, abstractNote={The production of heat by reactive nanomaterials occurs at a fuel/oxidizer interface. In order to understand how such materials can be initiated by shock, we studied a model system consisting of a reactive nanolaminate (RNL) with a well-defined planar interface. In addition, an organic thin layer could be intercalated between the fuel and oxidizer to modulate the reaction. We studied a stoichiometric mixture of Zr fuel and CuO oxidizer and the modulator layer was polyurea produced by molecular layer deposition. The RNL was shocked using 0.5 mm diameter Al flyer plates launched by a pulsed laser at 1.5 km/s. We found that little or no reaction was produced immediately under the flyer plate where the interface experiences compression only. Instead the reaction was efficiently initiated by shear waves propagating out from the edges of the flyer plate. The RNL reaction temperature during shock was 4000K, and after the shock dissipated, the RNL reacted at 3000K. Modulator layers caused the reaction to slow down with the effect increasing with increasing modulator thickness up to 500 nm.}, journal={SHOCK COMPRESSION OF CONDENSED MATTER - 2019}, author={Matveev, Sergey M. and Dlott, Dana D. and Hanusova, Petra and Maria, Jon-Paul and Nye, Rachel and Parsons, Gregory}, year={2020} }
@article{barton_davis_parsons_2020, title={The Effect of Surface Hydroxylation on MOF Formation on ALD Metal Oxides: MOF-525 on TiO2/Polypropylene for Catalytic Hydrolysis of Chemical Warfare Agent Simulants}, volume={12}, ISBN={1944-8252}, url={https://doi.org/10.1021/acsami.9b20910}, DOI={10.1021/acsami.9b20910}, abstractNote={Metal-organic framework (MOF) fibrous composites were synthesized in a variety of methods in attempt to incorporate the highly effective reactivity of MOFs into a more facile and applicable format. Recent advances have demonstrated incorporating a metal oxide nucleation surface or reactive layer promotes conformal, well-adhered MOF growth on substrates. These materials have demonstrated promising reactivity in capturing or degrading chemical warfare agents and simulants. Here, we examine the mechanisms for MOF nucleation from metal oxide thin films to explore why some metal oxide sources are better suited for one synthesis mechanism over another. We isolate metal oxide extent of hydroxylation as an indicative factor as to whether the film serves as a nucleation promoter or may be converted directly to the MOF thin films. MOF-525 growth on Al2O3, TiO2, and ZnO coated fibers is demonstrated to corroborate these findings and used to degrade chemical warfare agent simulant dimethyl-4-nitrophenyl phosphate.}, number={13}, journal={ACS APPLIED MATERIALS & INTERFACES}, publisher={American Chemical Society (ACS)}, author={Barton, Heather F. and Davis, Alexandra K. and Parsons, Gregory N.}, year={2020}, pages={14690–14701} }
@article{xie_parsons_2020, title={Thermal atomic layer etching of metallic tungsten via oxidation and etch reaction mechanism using O-2 or O-3 for oxidation and WCl6 as the chlorinating etchant}, volume={38}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/1.5134430}, DOI={10.1116/1.5134430}, abstractNote={Atomic layer etching (ALE), offering highly controlled removal of thin film materials, is considered as an enabling process technology for future development of transistor devices. The authors previously reported a thermal tungsten (W) ALE process using WF6 and O2 for temperatures ≥275 °C, and they recently discovered the opportunity for low-temperature W etching using WCl6 as the etchant instead of WF6. This article demonstrates a two-step, thermal W ALE process viable for temperatures ≥200 °C, consisting of an oxidation half-reaction with O2 or O3 and an etch half-reaction using WCl6 as the chlorinating etchant. In situ quartz crystal microbalance (QCM) analysis reveals that W ALE using O2 and WCl6 is self-limiting and proceeds at an etch rate of ∼7.3–8.2 Å/cycle for temperatures between 205 and 235 °C. QCM analysis further reveals a surface dependence in the etch rate of the O2/WCl6 process, where the etch rate is the largest during the first cycle and decreases to a smaller value in later cycles. In addition, the authors show that O3 is a more effective oxidant than O2 for W ALE at lower temperatures; saturation is achieved with a much shorter exposure. Etching of W films on silicon substrates was confirmed using ex situ techniques. Overall, this study increases the understanding of surface reactions in thermal ALE and expands the range of etchants and coreactants that are useful for thermal etching of metallic thin films.}, number={2}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Xie, Wenyi and Parsons, Gregory N.}, year={2020}, month={Mar} }
@article{nye_kelliher_gaskins_hopkins_parsons_2020, title={Understanding Molecular Layer Deposition Growth Mechanisms in Polyurea via Picosecond Acoustics Analysis}, volume={32}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.9b04702}, DOI={10.1021/acs.chemmater.9b04702}, abstractNote={Molecular layer deposition (MLD) is an increasingly important thin film synthesis technique in areas such as sensors, microelectronics, protective coatings, and catalysis. However, new analytical a...}, number={4}, journal={CHEMISTRY OF MATERIALS}, publisher={American Chemical Society (ACS)}, author={Nye, Rachel A. and Kelliher, Andrew P. and Gaskins, John T. and Hopkins, Patrick E. and Parsons, Gregory N.}, year={2020}, month={Feb}, pages={1553–1563} }
@article{pomerantz_anderson_dugan_hoffman_barton_lee_oldham_peterson_parsons_2019, title={Air, Water Vapor, and Aerosol Transport through Textiles with Surface Functional Coatings of Metal Oxides and Metal-Organic Frameworks}, volume={11}, ISSN={["1944-8252"]}, url={https://doi.org/10.1021/acsami.9b04091}, DOI={10.1021/acsami.9b04091}, abstractNote={Currently, air permeable chemical/biological (CB) protective garments are based on activated carbon technology, which reduces moisture vapor transport needed for evaporative cooling and has potential to absorb and concentrate toxic materials. Researchers are exploring classes of sorbent materials that can selectively accumulate and decompose target compounds for potential to enhance protective suits and allow for novel filtration devices. Here, the metal-organic frameworks (MOFs) UiO-66-NH2 and HKUST-1 have been identified as such materials. To better understand how MOFs can perform in future CB protective systems, atomic layer deposition (ALD) and solution deposition were used to modify nonwoven polypropylene and flame-resistant fabrics with HKUST-1 and UiO-66-NH2. Air permeation, water vapor transport, filtration efficiency, and chemical reactivity against chemical agent simulants were assessed in relation to ALD thickness and MOF crystal size. MOF deposition on substrates decreased both air and chemical permeation while increasing filtration efficiency and chemical sorption. Moisture vapor transport was not affected by MOF growth on substrates, which is promising when considering thermal properties of protective garments. Future work should continue to explore how MOF deposition onto fiber and textile substrates impacts transport properties and chemical absorbance.}, number={27}, journal={ACS APPLIED MATERIALS & INTERFACES}, publisher={American Chemical Society (ACS)}, author={Pomerantz, Natalie L. and Anderson, Erin E. and Dugan, Nicholas P. and Hoffman, Nicole F. and Barton, Heather F. and Lee, Dennis T. and Oldham, Christopher J. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2019}, month={Jul}, pages={24683–24690} }
@article{parsons_2019, title={Functional model for analysis of ALD nucleation and quantification of area-selective deposition}, volume={37}, ISSN={["1520-8559"]}, url={https://doi.org/10.1116/1.5054285}, DOI={10.1116/1.5054285}, abstractNote={Bottom-up chemical patterning, to additively form material only in desired locations, is becoming important to address scaling issues in semiconductor device manufacturing, catalytic material design, and other fields utilizing nanometer- and sub-nanometer-scaled material features. In some semiconductor device fabrication steps, chemically driven patterning by area-selective deposition (ASD) is beginning to supplant physical patterning by photolithography. To advance the field of ASD, more understanding is needed regarding mechanisms of thin film nucleation, particularly when nucleation proceeds where thin film deposition is not desired. To better understand thin film nucleation, this work describes a relatively simple analytical model with three adjustable input parameters that quantifies film growth initiation, island growth, and thickness evolution during area-selective atomic layer deposition (AS-ALD) and area-selective chemical vapor deposition. A definition is presented for chemical selectivity during film growth that depends on the extent of film coverage in the desired non-growth region. Fitting the model with experimental data gives quantitative output that allows the extent of selectivity to be compared for different ASD approaches studied in different labs, with data collected using a variety of analytical tools. Using several example published AS-ALD data sets, the article demonstrates how fitting the model to experimental data gives insight into different nucleation mechanisms for unwanted film growth during ASD. The author further describes how the model can be improved and expanded to encompass more complex film growth and nucleation mechanisms.}, number={2}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Parsons, Gregory N.}, year={2019}, month={Mar} }
@article{lee_jamir_peterson_parsons_2019, title={Functionalized Textiles: Water-Stable Chemical-Protective Textiles via Euhedral Surface-Oriented 2D Cu-TCPP Metal-Organic Frameworks (Small 10/2019)}, volume={15}, ISSN={1613-6810}, url={http://dx.doi.org/10.1002/SMLL.201970054}, DOI={10.1002/SMLL.201970054}, abstractNote={In article number 1805133, Gregory N. Parsons and co-workers effectively separate highly toxic chemicals including NH3 and 2-chloroethyl ethyl sulfide (CEES), a vesicant sulfur mustard simulant, through non-woven textiles functionalized with 2-D metal–organic frameworks (MOFs). The chemical protective membranes can potentially be applied to gas mask filters and to military garments to protect military populations from such hazards.}, number={10}, journal={Small}, publisher={Wiley}, author={Lee, Dennis T. and Jamir, Jovenal D. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2019}, month={Mar}, pages={1970054} }
@article{hill_lee_williams_needham_dandley_oldham_parsons_2019, title={Insight on the Sequential Vapor Infiltration Mechanisms of Trimethylaluminum with Poly(methyl methacrylate), Poly(vinylpyrrolidone), and Poly(acrylic acid)}, volume={123}, ISSN={["1932-7447"]}, url={https://doi.org/10.1021/acs.jpcc.9b02153}, DOI={10.1021/acs.jpcc.9b02153}, abstractNote={The sequential vapor infiltration (SVI) method, based on atomic layer deposition chemistry, allows the creation of a polymer–inorganic hybrid material through the diffusion of metal–organic vapor reagents into a polymer substrate. This study investigates the reactivity of the ester, amide, and carboxylic acid functional groups of poly(methyl methacrylate) (PMMA), poly(vinylpyrrolidone) (PVP), and poly(acrylic acid) (PAA), respectively, in the presence of trimethylaluminum (TMA) vapor. This work explores the possible reaction mechanisms of these functional groups through in situ Fourier transform infrared spectroscopy and ab initio quantum chemical analysis. At temperatures of ≤100 °C, TMA physisorbs to the carbonyl groups of PMMA. As the temperature is increased, TMA forms a covalent bond with PMMA. TMA physisorbs to PVP and then partially desorbs in the presence of water for all studied temperatures of ≤150 °C. PAA readily reacts with TMA to form a covalent bond with the carbonyl group at 60 °C. This inc...}, number={26}, journal={JOURNAL OF PHYSICAL CHEMISTRY C}, publisher={American Chemical Society (ACS)}, author={Hill, Grant T. and Lee, Dennis T. and Williams, Philip S. and Needham, Craig D. and Dandley, Erinn C. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2019}, month={Jul}, pages={16146–16152} }
@article{song_saare_parsons_2019, title={Integrated Isothermal Atomic Layer Deposition/Atomic Layer Etching Supercycles for Area-Selective Deposition of TiO2}, volume={31}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.9b01143}, DOI={10.1021/acs.chemmater.9b01143}, abstractNote={New approaches for area-selective deposition (ASD) are becoming critical for advanced semiconductor patterning. Atomic layer deposition (ALD) and atomic layer etching (ALE), that is, “inverse ALD”, are considered important for ASD, but to date, direct integration of ALD and ALE for ASD has not been reported. This work demonstrates that self-limiting thermally driven ALE, using WF6 and BCl3, can be directly coupled with self-limiting thermal ALD, using TiCl4 and H2O, in a single isothermal reactor at temperature 0.9, nearly a 10× improvement over previous reports of inherent TiO2 ASD. After ALD/ALE (=30/5) 14 supercycles at 170 °C, X-ray photoelectron spectroscopy da...}, number={13}, journal={CHEMISTRY OF MATERIALS}, publisher={American Chemical Society (ACS)}, author={Song, Seung Keun and Saare, Holger and Parsons, Gregory N.}, year={2019}, month={Jul}, pages={4793–4804} }
@article{fusco_oldham_parsons_2019, title={Investigation of the Corrosion Behavior of Atomic Layer Deposited Al2O3/TiO2 Nanolaminate Thin Films on Copper in 0.1 M NaCl}, volume={12}, ISSN={["1996-1944"]}, url={https://www.mdpi.com/1996-1944/12/4/672}, DOI={10.3390/ma12040672}, abstractNote={Fifty nanometers of Al2O3 and TiO2 nanolaminate thin films deposited by atomic layer deposition (ALD) were investigated for protection of copper in 0.1 M NaCl using electrochemical techniques. Coated samples showed increases in polarization resistance over uncoated copper, up to 12 MΩ-cm2, as measured by impedance spectroscopy. Over a 72-h immersion period, impedance of the titania-heavy films was found to be the most stable, as the alumina films experienced degradation after less than 24 h, regardless of the presence of dissolved oxygen. A film comprised of alternating Al2O3 and TiO2 layers of 5 nm each (referenced as ATx5), was determined to be the best corrosion barrier of the films tested based on impedance spectroscopy measurements over 72 h and equivalent circuit modeling. Dissolved oxygen had a minimal effect on ALD film stability, and increasing the deposition temperature from 150 °C to 250 °C, although useful for increasing film quality, was found to be counterproductive for long-term corrosion protection. Implications of ALD film aging and copper-based surface film formation during immersion and testing are also discussed briefly. The results presented here demonstrate the potential for ultra-thin corrosion barrier coatings, especially for high aspect ratios and component interiors, for which ALD is uniquely suited.}, number={4}, journal={MATERIALS}, author={Fusco, Michael A. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2019}, month={Feb} }
@article{lee_jamir_peterson_parsons_2020, title={Protective Fabrics: Metal-Organic Framework Textiles for Rapid Photocatalytic Sulfur Mustard Simulant Detoxification}, volume={2}, ISSN={["2590-2385"]}, DOI={10.1016/j.matt.2019.11.005}, abstractNote={Metal-organic frameworks (MOFs) can catalyze toxic chemical decontamination, but new MOF materials and synthesis strategies are needed to improve performance, particularly in field-usable MOF-textile formats. This article reports for the first time the exceptional photocatalytic reactivity of Al-PMOF (Al-porphyrin-based MOF), composed of an earth-abundant metal-containing Al(OH)O4 cluster bridged by H2TCPP (5,10,15,20-tetrakis(4-carboxyphenyl)porphyrin) chromophores, against the toxic sulfur mustard simulant 2-chloroethyl ethyl sulfide (CEES) under visible-light irradiation. Furthermore, Al-PMOF is strongly immobilized into polymeric fibers via well-controlled Al2O3 solid film conversion using dimethylformamide/water cosolvent. The approach enables a secure integration of conformal Al-PMOF films onto polymer fibers at a relatively low synthesis temperature (120°C). In addition, on a per-unit mass of MOF basis, the surface-bound Al-PMOF films enable extremely rapid CEES detoxification turnover frequency, up to 170 molCEESmolchromophore−1min−1, more than 10-fold faster than the best MOF powders and 2-fold better than MOF films reported to date.}, number={2}, journal={MATTER}, author={Lee, Dennis T. and Jamir, Jovenal D. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2020}, month={Feb}, pages={404–415} }
@article{lee_jamir_peterson_parsons_2019, title={Water-Stable Chemical-Protective Textiles via Euhedral Surface-Oriented 2D Cu-TCPP Metal-Organic Frameworks}, volume={15}, ISSN={["1613-6829"]}, url={https://doi.org/10.1002/smll.201805133}, DOI={10.1002/smll.201805133}, abstractNote={AbstractAbatement of chemical hazards using adsorptive metal‐organic frameworks (MOFs) attracts substantial attention, but material stability and crystal integration into functional systems remain key challenges. Herein, water‐stable, polymer fiber surface–oriented M–TCPP [M = Cu, Zn, and Co; H2TCPP = 5,10,15,20‐tetrakis(4‐carboxyphenyl)porphyrin] 2D MOF crystals are fabricated using a facile hydroxy double salt (HDS) solid‐source conversion strategy. For the first time, Cu–TCPP is formed from a solid source and confirmed to be highly adsorptive for NH3 and 2‐chloroethyl ethyl sulfide (CEES), a blistering agent simulant, in humid (80% relative humidity (RH)) conditions. Moreover, the solid HDS source is found as a unique new approach to control MOF thin‐film crystal orientation, thereby facilitating radially arranged MOF crystals on fibers. On a per unit mass of MOF basis in humid conditions, the MOF/fiber composite enhances NH3 adsorptive capacity by a factor of 3 compared to conventionally prepared MOF powders. The synthesis route extends to other MOF/fiber composite systems, therefore providing a new route for chemically protective materials.}, number={10}, journal={SMALL}, publisher={Wiley}, author={Lee, Dennis T. and Jamir, Jovenal D. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2019}, month={Mar} }
@article{king_theofanis_lemaire_santiso_parsons_2018, title={Ab initio analysis of nucleation reactions during tungsten atomic layer deposition on Si(100) and W(110) substrates}, volume={36}, ISSN={["1520-8559"]}, DOI={10.1116/1.5044740}, abstractNote={Novel insight into the mechanisms that govern nucleation during tungsten atomic layer deposition is presented through a detailed analysis using density functional theory. Using the calculated energetics, the authors suggest the most probable series of reactions that lead to monolayer formation on desired growth surfaces, Si(100) and W(110), during sequential doses of WF6 and SiH4. From this analysis, they conclude that a relatively high-energy barrier exists for initial nucleation of WF6 on a silicon substrate; therefore, the system is limited to physical adsorption and is only capable of accessing nucleation pathways once the reaction barrier is energetically accessible. During early doses of WF6, the initial silicon surface acts as the reductant. Results from this half-reaction provide support for the noncoalesced growth of initial W layers since nucleation is shown to require a 2:1 ratio of silicon to WF6. In addition, the release of H2 is significantly favored over HF production leading to the formation of fluorine-contaminated silicon sites; etching of these sites is heavily supported by the absence of fluorine observed in experimentally deposited films as well as the high volatility of silicon-subfluorides. In the second half-reaction, SiH4 plays the multipurpose role of stripping fluorine atoms from W, displacing any adsorbed hydrogen atoms, and depositing a silicon-hydride layer. Saturation of the previously formed W layer with silicon-hydrides is a crucial step in depositing the consecutive layer since these surface species act as the reductants in the succeeding dose of WF6. The SiH4 half-reaction reaches a limit when all fluorine atoms are removed as silicon-subfluorides (SiFxHy) and tungsten sites are terminated with silicon-hydrides. The WF6 dose reaches a limit in early doses when the reductant, i.e., the surface, becomes blocked due to the formation of a planar network of fluorine-containing tungsten intermediates and in later cycles when the reductant, i.e., adsorbed silicon-hydrides, is etched entirely from the surface. Overall, the calculated energetics indicate that WFxHy, SiFx, and H2 molecules are the most probable by-products released during the ALD process. Results from this work contribute significantly to the fundamental understanding of atomic layer growth of tungsten using silicon species as reducing agents and may be used as a template for analyzing novel ALD processes.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={King, Mariah J. and Theofanis, Patrick L. and Lemaire, Paul C. and Santiso, Erik E. and Parsons, Gregory N.}, year={2018}, month={Nov} }
@article{stevens_tomczak_chan_sanchez_parsons_delabie_2018, title={Area-Selective Atomic Layer Deposition of TiN, TiO2, and HfO2 on Silicon Nitride with inhibition on Amorphous Carbon}, volume={30}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.8b00017}, DOI={10.1021/acs.chemmater.8b00017}, abstractNote={The demand for transistors and memory devices with smaller feature sizes and increasingly complex architectures furthers the need for advanced thin film patterning techniques. A prepatterned, sacrificial layer can be used as a template for bottom-up fill of new materials which would otherwise be difficult to pattern using traditional top-down lithographic methods. This work investigates initial growth of TiN, TiO2, and HfO2 thin films during thermal atomic layer deposition (ALD) onto a high density, amorphous carbon (aC) sacrificial layer. ALD of TiN by TiCl4/NH3 at 390 °C, TiO2 by Ti(OCH3)4/H2O at 250 °C, and HfO2 by HfCl4/H2O at 300 °C on as-deposited aC films resulted in uninhibited, continuous thin film growth. We find that carbon surface reduction and passivation using a H2 plasma resulted in delayed film coalescence for TiN, TiO2, and HfO2 on the aC. After 200 TiN cycles on H2 plasma-treated aC, Rutherford backscattering spectrometry shows Ti levels below the detection limit (8 × 1013 at/cm2), where...}, number={10}, journal={CHEMISTRY OF MATERIALS}, publisher={American Chemical Society (ACS)}, author={Stevens, Eric and Tomczak, Yoann and Chan, B. T. and Sanchez, Efrain Altamirano and Parsons, Gregory N. and Delabie, Annelies}, year={2018}, month={May}, pages={3223–3232} }
@article{min_chen_chen_sun_lee_li_zhu_o'connor_parsons_chang_2018, title={Atomic Layer Deposition: Conformal Physical Vapor Deposition Assisted by Atomic Layer Deposition and Its Application for Stretchable Conductors (Adv. Mater. Interfaces 22/2018)}, volume={5}, ISSN={2196-7350 2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201870109}, DOI={10.1002/ADMI.201870109}, abstractNote={Physical vapor deposition of thin films on nanostructure topography is typically limited by line-of-sight transfer. This study demonstrates that by introducing an oxide interlayer using atomic layer deposition, the film uniformity and electrical properties can be improved. Enabling conformal coating at low cost, this method can find applications in manufacturing of integrated circuit and stretchable electronics. More details can be found in article number 1801379 by Joong-Hee Min, Chih-Hao Chang, and co-workers.}, number={22}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Min, Joong‐Hee and Chen, Yi‐An and Chen, I‐Te and Sun, Tianlei and Lee, Dennis T. and Li, Chengjun and Zhu, Yong and O'Connor, Brendan T. and Parsons, Gregory N. and Chang, Chih‐Hao}, year={2018}, month={Nov}, pages={1870109} }
@article{min_chen_chen_sun_lee_li_zhu_brendan t. o'connor_parsons_chang_2018, title={Conformal Physical Vapor Deposition Assisted by Atomic Layer Deposition and Its Application for Stretchable Conductors}, volume={5}, ISSN={["2196-7350"]}, DOI={10.1002/admi.201801379}, abstractNote={AbstractPhysical vapor deposition (PVD) is a versatile thin‐film coating technique that can deposit a wide selection of inorganic materials at low cost. However, the process is based on line‐of‐sight transfer, which can lead to shadowing effects and limit film uniformity over nonplanar topographies. This work describes improving conformal PVD coating on polymer nanostructures by increasing surface energy using a thin oxide interlayer deposited by atomic layer deposition (ALD). The proposed ALD‐assisted PVD process allows conformal coating at low cost, and can be adopted for a wide variety of materials compatible with tradition PVD. Conformal gold films over nanostructures with 500 nm half‐pitch and aspect ratio up to 1.5 are demonstrated. The film uniformity is characterized using cross‐sectional electron microscopy, energy‐dispersive X‐ray spectroscopy, and electrical measurements, showing a clear improvement in coating uniformity with the oxide interlayer. This PVD process is then used to fabricate metallic nano‐accordion structures, which can be used for stretchable conductors. The demonstrated process can improve material selection and reduce process cost of conformal coating, which can find applications in integrated circuit manufacturing, stretchable electronics, and wearable sensors.}, number={22}, journal={ADVANCED MATERIALS INTERFACES}, author={Min, Joong-Hee and Chen, Yi-An and Chen, I-Te and Sun, Tianlei and Lee, Dennis T. and Li, Chengjun and Zhu, Yong and Brendan T. O'Connor and Parsons, Gregory N. and Chang, Chih-Hao}, year={2018}, month={Nov} }
@article{xie_khan_rojas_parsons_2018, title={Control of Micro- and Mesopores in Carbon Nanofibers and Hollow Carbon Nanofibers Derived from Cellulose Diacetate via Vapor Phase Infiltration of Diethyl Zinc}, volume={6}, ISSN={["2168-0485"]}, url={https://doi.org/10.1021/acssuschemeng.8b02014}, DOI={10.1021/acssuschemeng.8b02014}, abstractNote={Common thermoplastic polymers, such as poly(vinyl alcohol) and cellulose derivatives are abundant and inexpensive precursors for preparing carbon nanofibers. These polymers are soluble in common solvents and can be readily processed to prepare nanofibers with high external surface area. However, thermoplastic polymers undergo a melting transition upon heating, resulting in loss of initial morphology and low carbon yield. In this study, vapor infiltration of diethyl zinc (DEZ) is applied to modify electrospun cellulose diacetate (CDA) nanofibers before carbonization, resulting in excellent retention of the original fiber structure while maintaining a high surface area and pore size distribution. Our goal is to investigate the effect of inorganic modification on the morphology and structural properties of the carbon product from the CDA nanofibers. We found that the CDA nanofiber structure was preserved after incorporation of ∼10 wt % Zn by vapor infiltration of DEZ. In addition, we found the pore volume di...}, number={11}, journal={ACS SUSTAINABLE CHEMISTRY & ENGINEERING}, publisher={American Chemical Society (ACS)}, author={Xie, Wenyi and Khan, Saad and Rojas, Orlando J. and Parsons, Gregory N.}, year={2018}, month={Nov}, pages={13844–13853} }
@article{ives_oldham_daubert_gremaud_collins_marsden_bui_fusco_mitsdarffer_parsons_2018, title={Corrosion Mitigation Coatings for RF Sources and Components}, volume={65}, url={https://doi.org/10.1109/TED.2017.2788379}, DOI={10.1109/TED.2017.2788379}, abstractNote={RF sources are used in many applications, including high-power radar, communications, cancer therapy and diagnosis, electronic countermeasures, and high-energy accelerators. Many of these sources are located in well controlled environments where high-quality coolant fluids are readily available. Others, however, are located on platforms where harsh environmental conditions exist, and high-quality coolants are not easily provided. This includes ships at sea and remote transmitters in the second-world and third-world countries. Corrosive ethylene glycol mixtures may be required where extreme temperatures are encountered. Essentially, all high-power RF sources are fabricated from brazed copper structures, which are particularly susceptible to corrosion from corrosive coolants. This paper demonstrates using atomic layer deposition (ALD) to deposit nanometer-scale ceramic coatings inside coolant passages to separate the coolant from the underlying metal surface. This effectively reduces or eliminates corrosion and erosion, thereby increasing lifetime and reliability of RF sources. We describe ALD equipment and process specifically focused on RF sources and related components. The results of numerous experiments are reported, confirming the efficacy of the technology.}, number={6}, journal={IEEE Transactions on Electron Devices}, publisher={Institute of Electrical and Electronics Engineers (IEEE)}, author={Ives, R. Lawrence and Oldham, Christopher J. and Daubert, James S. and Gremaud, Antoine P. and Collins, George and Marsden, David and Bui, Thuc and Fusco, Michael A. and Mitsdarffer, Bryan and Parsons, Gregory N.}, year={2018}, month={Jun}, pages={2385–2392} }
@article{mousa_ovental_brozena_oldham_parsons_2018, title={Modeling and experimental demonstration of high-throughput flow-through spatial atomic layer deposition of Al2O3 coatings on textiles at atmospheric pressure}, volume={36}, ISSN={["1520-8559"]}, DOI={10.1116/1.5022077}, abstractNote={Atomic layer deposition (ALD) shows promise for forming thin films on temperature-sensitive materials, such as polymers, for applications in filtration, sensing, etc. However, traditional batch ALD generally proceeds slowly and requires controlled, low-pressure equipment. One approach to combat this limitation is spatial ALD, which uses moving substrates through zones of reactant exposure. To date, studies of spatial ALD have primarily explored growth on planar and nonporous substrates. Here, the authors demonstrate a proof-of-concept atmospheric pressure flow-through spatial ALD reactor specifically designed for through-porous substrates, such as fiber webs. This paper describes detailed gas flow modeling and experimental analysis of their prototype reactor. Model results identify precursor gas flow rates, channel spacing, and the distance between the substrate and reactor surfaces as key factors to achieve uniform deposition. Using a previously developed surface wetting protocol, the authors experimentally verify operating conditions for uniform ALD alumina on polypropylene as a model fiber substrate. Under good ALD conditions, the spatial ALD reactor can complete ∼60 cycles/min over a large substrate area, which is 60 times faster than batch ALD. The authors quantify growth saturation conditions and find that under reduced gas flow rates or slow fiber translation speeds, a transition from ALD to chemical vapor deposition-like growth can be induced. Additionally, the authors demonstrate that fiber mat properties such as mat density and air permeability play important roles in the penetration depth of the precursors and, therefore, the conditions needed to achieve ALD. Overall, this work demonstrates a proof-of-concept reactor for high throughput ALD on porous substrates, and identifies important design challenges and considerations for future high-throughput ALD.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Mousa, Moataz Bellah M. and Ovental, Jennifer S. and Brozena, Alexandra H. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2018}, month={May} }
@article{barton_davis_lee_parsons_2018, title={Solvothermal Synthesis of MIL-96 and UiO-66-NH2 on Atomic Layer Deposited Metal Oxide Coatings on Fiber Mats}, volume={6}, ISSN={["1940-087X"]}, DOI={10.3791/57734}, abstractNote={Metal-organic frameworks (MOFs), which contain reactive metal clusters and organic ligands allowing for large porosities and surface areas, have proven effective in gas adsorption, separations, and catalysis. MOFs are most commonly synthesized as bulk powder, requiring additional processes to adhere them to functional devices and fabrics that risk decreasing the powder porosity and adsorption capacity. Here, we demonstrate a method of first coating fabrics with metal oxide films using atomic layer deposition (ALD). This process creates conformal films of controllable thickness on each fiber, while providing a more reactive surface for MOF nucleation. By submerging the ALD coated fabric in solution during solvothermal MOF synthesis, the MOFs create a conformal, well-adhered coating on the fibers, resulting in a MOF-functionalized fabric, without additional adhesion materials that may block MOF pores and functional sites. Here we demonstrate two solvothermal synthesis methods. First, we form a MIL-96(Al) layer on polypropylene fibers using synthetic conditions that convert the metal oxide to MOF. Using initial inorganic films of varying thicknesses, diffusion of the organic linker into the inorganic allows us to control the extent of MOF loading on the fabric. Second, we perform a solvothermal synthesis of UiO-66-NH2 in which the MOF nucleates on the conformal metal oxide coating on polyamide-6 (PA-6) fibers, thereby producing a uniform and conformal thin film of MOF on the fabric. The resulting materials can be directly incorporated into filter devices or protective clothing and eliminate the maladroit qualities of loose powder.}, number={136}, journal={JOVE-JOURNAL OF VISUALIZED EXPERIMENTS}, author={Barton, Heather F. and Davis, Alexandra K. and Lee, Dennis T. and Parsons, Gregory N.}, year={2018}, month={Jun} }
@article{tripathi_parsons_khan_rojas_2018, title={Synthesis of organic aerogels with tailorable morphology and strength by controlled solvent swelling following Hansen solubility}, volume={8}, ISSN={["2045-2322"]}, url={https://doi.org/10.1038/s41598-018-19720-4}, DOI={10.1038/s41598-018-19720-4}, abstractNote={AbstractWe introduce a generalized approach to synthesize aerogels that allows remarkable control over its mechanical properties. The Hansen solubility parameters are used to predict and regulate the swelling properties of the precursor gels and, consequently, to achieve aerogels with tailored density and mechanical properties. As a demonstration, crosslinked organogels were synthesized from cellulose esters to generate aerogels. By determination of Hansen’s Relative Energy Difference, it was possible to overcome the limitations of current approaches that solely rely on the choice of precursor polymer concentration to achieve a set of aerogel properties. Hence, from a given concentration, aerogels were produced in a range of mass densities, from 25 to 113 mg/cm3. Consequently, it was possible to tailor the stiffness, toughness and compressive strength of the aerogels, in the ranges between 14–340, 4–103 and 22–373 kPa, respectively. Additionally, unidirectional freeze-drying introduced pore alignment in aerogels with honeycomb morphologies and anisotropy. Interestingly, when the swelling of the polymeric gel was arrested in a non-equilibrium state, it was possible to gain additional control of the property space. The proposed method is a novel and generic solution to achieving full control of aerogel development, which up to now has been an intractable challenge.}, journal={SCIENTIFIC REPORTS}, author={Tripathi, Anurodh and Parsons, Gregory N. and Khan, Saad A. and Rojas, Orlando J.}, year={2018}, month={Feb} }
@article{stevens_mousa_parsons_2018, title={Thermal atomic layer deposition of Sn metal using SnCl4 and a vapor phase silyl dihydropyrazine reducing agent}, volume={36}, ISSN={["1520-8559"]}, DOI={10.1116/1.5055212}, abstractNote={This work explores a novel, thermal atomic layer deposition (ALD) process to deposit tin metal at a low temperature. The authors employ 1,4-bis(trimethylsilyl)-1,4-dihydropyrazine (DHP) to reduce SnCl4 on silicon substrates. The authors explored a range of temperatures between 130 and 210 °C to determine the ALD window, which was found to be 170–210 °C. The authors show that this process yields a growth rate of ∼0.3 Å per cycle at 190 °C. Furthermore, X-ray photoelectron spectroscopy results showed that the film impurities are reduced for depositions within the ALD window. The reaction mechanism was explored using in situ mass spectrometry and in situ quartz crystal microbalance (QCM). Within the ALD temperature window, the QCM results showed a saturated mass gain during the SnCl4 exposure and a net mass loss during the DHP dose. Consistent with the QCM results, in situ mass spectroscopy data indicate that the DHP exposure step removes surface Cl via formation of volatile trimethylsilyl chloride and pyrazine by-products, effectively reducing the oxidation state of surface-bound Sn. This work is the first thermal Sn metal ALD process to be reported in literature and the oxidation/reduction chemistry presented here may be applied to other metal precursors, increasing the applicability of metal ALD use in industry.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Stevens, Eric C. and Mousa, Moataz Bellah M. and Parsons, Gregory N.}, year={2018}, month={Nov} }
@article{xie_lemaire_parsons_2018, title={Thermally Driven Self-Limiting Atomic Layer Etching of Metallic Tungsten Using WF6 and O-2}, volume={10}, ISSN={["1944-8244"]}, url={https://doi.org/10.1021/acsami.7b19024}, DOI={10.1021/acsami.7b19024}, abstractNote={The semiconductor industry faces a tremendous challenge in the development of a transistor device with sub-10 nm complex features. Self-limiting atomic layer etching (ALE) is essential for enabling the manufacturing of complex transistor structures. In this study, we demonstrated a thermally driven ALE process for tungsten (W) using sequential exposures of O2 and WF6. Based on the insight gained from the previous study on TiO2 thermal ALE, we proposed that etching of W could proceed in two sequential reaction steps at 300 °C: (1) oxidation of metallic tungsten using O2 or O3 to form WO3(s) and (2) formation and removal of volatile WO2F2(g) during the reaction between WO3(s) and WF6(g). The O2/WF6 etch process was experimentally studied using a quartz crystal microbalance (QCM). We find that both the O2 and WF6 ALE half reactions are self-limiting, with an estimated steady-state etch rate of ∼6.3 Å/cycle at 300 °C. We also find that etching of W proceeds readily at 300 °C, but not at temperatures lower than 275 °C. Thermodynamic modeling reveals that the observed temperature dependence is likely due to the limited volatility of WO2F2. The use of WF6 with O3 in place of O2 also allows W etching, where the stronger oxidant leads to a larger mass removal rate per cycle. However, we find O2 to be more controllable for precise metal removal per cycle. In addition, etched W films were examined with ex situ analytical tools. Using spectroscopic ellipsometry (SE) and scanning electron microscopy (SEM), we confirm etching of tungsten film on silicon substrates. Surface analysis by X-ray photoelectron spectroscopy (XPS) revealed a minimal fluorine content on the W film after partial etching and on the silicon surface after full etching. This suggests that W ALE does not significantly alter the chemical composition of W films. This work serves to increase the understanding of ALE reactions and expand the base of available ALE processes for advanced material processing.}, number={10}, journal={ACS APPLIED MATERIALS & INTERFACES}, publisher={American Chemical Society (ACS)}, author={Xie, Wenyi and Lemaire, Paul C. and Parsons, Gregory N.}, year={2018}, month={Mar}, pages={9147–9154} }
@article{dwyer_lee_boyer_bernier_parsons_jones_2018, title={Toxic Organophosphate Hydrolysis Using Nanofiber-Templated UiO-66-NH2 Metal–Organic Framework Polycrystalline Cylinders}, volume={10}, ISSN={1944-8244 1944-8252}, url={http://dx.doi.org/10.1021/ACSAMI.8B08167}, DOI={10.1021/ACSAMI.8B08167}, abstractNote={Metal organic frameworks (MOFs), the UiO series in particular, have attracted much attention because of the high surface area and ability to capture and decontaminate chemical warfare agents. Much work has been done on incorporating these MOFs into or onto textile materials while retaining the desirable properties of the MOF. Many different techniques have been explored to achieve this. Atomic layer deposition (ALD) of TiO2 followed by solvothermal synthesis of MOF has become one of the most adaptable techniques for growing MOFs on the surface of many different polymer fabric materials. However, little work has been done with using this technique on polymer composite materials. In this work, UiO-66-NH2 was grown onto the surface of poly(methyl methacrylate) (PMMA)/Ti(OH)4 and poly(vinylidene fluoride) (PVDF)/Ti(OH)4 composite fibers by first modifying the surface with ALD of TiO2 (@TiO2) followed by solvothermal synthesis of MOF (@MOF). The catalytic activity of these materials was then evaluated using the simulant paraoxon-methyl (DMNP). These new MOF-functionalized composite fabrics were compared to polyamide-6 (PA-6)@TiO2@MOF- and polypropylene (PP)@TiO2@MOF-functionalized fabrics. PMMA/Ti(OH)4@TiO2@MOF fibers resulted in unique hollowed fibers with high surface area of 264 m2/g and fast catalytic activity. The catalytic activity of these samples was found to be related to the active MOF mass fraction on the MOF-functionalized composite fabric, with the hollowed PMMA/Ti(OH)4@TiO2@MOF having the highest weight percent of active MOF and a DMNP t1/2 of 26 min followed by PA-6@TiO2@MOF with 45 min, PVDF/Ti(OH)4@TiO2@MOF with 61 min, and PP@TiO2@MOF with 83 min.}, number={30}, journal={ACS Applied Materials & Interfaces}, publisher={American Chemical Society (ACS)}, author={Dwyer, Derek B. and Lee, Dennis T. and Boyer, Steven and Bernier, William E. and Parsons, Gregory N. and Jones, Wayne E., Jr.}, year={2018}, month={Jul}, pages={25794–25803} }
@article{cui_lemaire_zhao_savas_parsons_hart_2018, title={Tungsten-Carbon Nanotube Composite Photonic Crystals as Thermally Stable Spectral-Selective Absorbers and Emitters for Thermophotovoltaics}, volume={8}, ISSN={["1614-6840"]}, DOI={10.1002/aenm.201801471}, abstractNote={AbstractThermophotovoltaics (TPVs) is a promising energy conversion technology which can harvest wide‐spectrum thermal radiation. However, the manufacturing complexity and thermal instability of the nanophotonic absorber and emitter, which are key components of TPV devices, significantly limit their scalability and practical deployment. Here, tungsten–carbon nanotube (W‐CNT) composite photonic crystals (PhCs) exhibiting outstanding spectral and angular selectivity of photon absorbance and thermal emission are presented. The W‐CNT PhCs are fabricated by nanoscale holographic interferometry‐based patterning of a thin‐film catalyst, modulated chemical vapor deposition synthesis of high‐density CNT forest nanostructures, and infiltration of the CNT forests with tungsten via atomic layer deposition. Owing to their highly stable structure and composition, the W‐CNT PhCs exhibit negligible degradation of optical properties after annealing for 168 hours at 1273 K, which exceeds all previously reported high‐temperature PhCs. Using the measured spectral properties of the W‐CNT PhCs, the system efficiency of a GaSb‐based solar TPV (STPV) that surpasses the Shockley–Queisser efficiency limit at modest operating temperatures and input powers is numerically predicted. These findings encourage further practical development of STPVs, and this scalable fabrication method for composite nanostructures could find other applications in electromagnetic metamaterials.}, number={27}, journal={ADVANCED ENERGY MATERIALS}, author={Cui, Kehang and Lemaire, Paul and Zhao, Hangbo and Savas, Timothy and Parsons, Gregory and Hart, A. John}, year={2018}, month={Sep} }
@article{lee_zhao_peterson_parsons_2017, title={Catalytic "MOF-Cloth" Formed via Directed Supramolecular Assembly of UiO-66-NH2 Crystals on Atomic Layer Deposition-Coated Textiles for Rapid Degradation of Chemical Warfare Agent Simulants}, volume={29}, ISSN={["1520-5002"]}, DOI={10.1021/acs.chemmater.7b00949}, abstractNote={Highly tunable metal–organic framework (MOF) materials, including, for example, UiO-66-NH2, are known to be effective catalysts to degrade chemical warfare agents (CWAs) with half-lives near 1 min. Therefore, many researchers have been actively working on producing supported MOF materials to improve application effectiveness by using relatively slow solvothermal synthesis or repetitious stepwise layer-by-layer methods. Herein, we demonstrate a facile route to rapidly assemble presynthesized UiO-66-NH2 crystals onto nonwoven polypropylene (PP) fibrous mats at ambient temperature. Crystal assembly is chemically directed using β-cyclodextrin (β-CD) and cetyltrimethylammonium bromide (CTAB) as surfactant assembly agents, where the agents quickly (within 5 min) self-assemble on the crystal surface and promote physically robust chemical surface attachment while simultaneously impeding solution-phase crystal agglomeration. Furthermore, we find that when the PP is preconditioned using conformal metal oxide thin f...}, number={11}, journal={CHEMISTRY OF MATERIALS}, author={Lee, Dennis T. and Zhao, Junjie and Peterson, Gregory W. and Parsons, Gregory N.}, year={2017}, month={Jun}, pages={4894–4903} }
@article{daubert_hill_gotsch_gremaud_ovental_williams_oldham_parsons_2017, title={Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition}, volume={9}, ISSN={["1944-8244"]}, DOI={10.1021/acsami.6b13571}, abstractNote={Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al2O3, TiO2, ZnO, HfO2, and ZrO2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al2O3 or HfO2 provided the highest level of initial corrosion protection, but films of HfO2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO2 or ZrO2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.}, number={4}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Daubert, James S. and Hill, Grant T. and Gotsch, Hannah N. and Gremaud, Antoine P. and Ovental, Jennifer S. and Williams, Philip S. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2017}, month={Feb}, pages={4192–4201} }
@inproceedings{ives_collins_marsden_bui_oldham_daubert_gremaud_parsons_2017, title={Corrosion mitigation coatings for RF sources and components}, DOI={10.1109/ivec.2017.8289559}, abstractNote={Research is continuing to develop nanometer-scale, ceramic coatings to reduce or eliminate corrosion in cooling channels of RF sources and components. The coatings separate the metal substrate from the coolant, preventing corrosion and erosion. This is addressing issues in remote or mobile environments where high quality coolant fluids are not available. Previous research demonstrated the effectiveness of alumina and hafnia nanoscale coatings. The current research is investigating additional materials and the optimum thickness and application processes. A prototype application system is being assembled to test with deliverable products. The presentation will describe the latest test results, describe the applications system, and provide the schedule for the program.}, booktitle={2017 eighteenth international vacuum electronics conference (ivec)}, author={Ives, R. L. and Collins, G. and Marsden, D. and Bui, T. and Oldham, C. J. and Daubert, J. S. and Gremaud, A. P. and Parsons, G. N.}, year={2017} }
@article{tripathi_parsons_rojas_khan_2017, title={Featherlight, Mechanically Robust Cellulose Ester Aerogels for Environmental Remediation}, volume={2}, ISSN={2470-1343 2470-1343}, url={http://dx.doi.org/10.1021/ACSOMEGA.7B00571}, DOI={10.1021/ACSOMEGA.7B00571}, abstractNote={A unique combination of well-established synthesis procedures involving chemical cross-linking, careful solvent exchange to water, and subsequent freeze drying is used to produce ultralight (4.3 mg/mL) and highly porous (99.7%) cellulose diacetate (CDA) aerogels with honeycomb morphology. This versatile synthesis approach is extended to other nonaqueous polymers with hydroxyl functionalities such as cellulose acetate propionate and cellulose acetate butyrate to produce a single component polymer aerogel. These aerogels demonstrate a maximum water and oil uptake of up to 92 and 112 g/g, respectively. The honeycomb morphology provides a maximum compression strain of 92% without failure and reaches a compressive stress of 350 kPa, for 4 w/v % CDA aerogels (4%), which is higher than that reported for cellulosic aerogels. The 4% CDA aerogel were rendered hydrophobic and oleophilic via chemical vapor deposition with organosilane. The modified CDA aerogel surpasses their counterparts in maintaining their mechanical integrity for fast oil cleanup and efficient oil retention from aqueous media under marine conditions. These aerogels are identified to be reusable and durable for a long period.}, number={8}, journal={ACS Omega}, publisher={American Chemical Society (ACS)}, author={Tripathi, Anurodh and Parsons, Gregory N. and Rojas, Orlando J. and Khan, Saad A.}, year={2017}, month={Aug}, pages={4297–4305} }
@article{zhao_kalanyan_barton_sperling_parsons_2017, title={In Situ Time-Resolved Attenuated Total Reflectance Infrared Spectroscopy for Probing Metal–Organic Framework Thin Film Growth}, volume={29}, ISSN={0897-4756 1520-5002}, url={http://dx.doi.org/10.1021/ACS.CHEMMATER.7B03096}, DOI={10.1021/ACS.CHEMMATER.7B03096}, abstractNote={In situ chemical measurements of solution/surface reactions during metal-organic framework (MOF) thin film growth can provide valuable information about the mechanistic and kinetic aspects of key reaction steps, and allow control over crystal quality and material properties. Here, we report a new approach to study the growth of MOF thin films in a flow cell using attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR). Real-time spectra recorded during continuous flow synthesis were used to investigate the mechanism and kinetics that govern the formation of (Zn, Cu) hydroxy double salts (HDSs) from ZnO thin films and the subsequent conversion of HDS to HKUST-1. We found that both reactions follow pseudo-first order kinetics. Real-time measurements also revealed that the limited mass transport of reactants may lead to partial conversion of ZnO to HDS and therefore leaves an interfacial ZnO layer beneath the HDS film providing strong adhesion of the HKUST-1 coating to the substrate. This in situ flow-cell ATR-FTIR method is generalizable for studying the dynamic processes of MOF thin film growth, and could be used for other solid/liquid reaction systems involving thin films.}, number={20}, journal={Chemistry of Materials}, publisher={American Chemical Society (ACS)}, author={Zhao, Junjie and Kalanyan, Berc and Barton, Heather F. and Sperling, Brent A. and Parsons, Gregory N.}, year={2017}, month={Oct}, pages={8804–8810} }
@article{daubert_wang_ovental_barton_rajagopalan_augustyn_parsons_2017, title={Intrinsic limitations of atomic layer deposition for pseudocapacitive metal oxides in porous electrochemical capacitor electrodes}, volume={5}, ISSN={["2050-7496"]}, url={https://doi.org/10.1039/C7TA02719B}, DOI={10.1039/c7ta02719b}, abstractNote={This work highlights the intrinsic capabilities and limitations of coating microporous materials using atomic layer deposition (ALD).}, number={25}, journal={JOURNAL OF MATERIALS CHEMISTRY A}, publisher={Royal Society of Chemistry (RSC)}, author={Daubert, James S. and Wang, Ruocun and Ovental, Jennifer S. and Barton, Heather F. and Rajagopalan, Ramakrishnan and Augustyn, Veronica and Parsons, Gregory N.}, year={2017}, month={Jul}, pages={13086–13097} }
@article{bagal_zhang_shahrin_dandley_zhao_poblete_oldham_zhu_parsons_bobko_et al._2017, title={Large-Area Nanolattice Film with Enhanced Modulus, Hardness, and Energy Dissipation}, volume={7}, ISSN={2045-2322}, url={http://dx.doi.org/10.1038/S41598-017-09521-6}, DOI={10.1038/S41598-017-09521-6}, abstractNote={AbstractWe present an engineered nanolattice material with enhanced mechanical properties that can be broadly applied as a thin film over large areas. The nanolattice films consist of ordered, three-dimensional architecture with thin-shell tubular elements, resulting in favorable modulus-density scaling (n ~ 1.1), enhanced energy dissipation, and extremely large material recoverability for strains up to 20% under normal compressive loading. At 95.6% porosity, the nanolattice film has demonstrated modulus of 1.19 GPa and specific energy dissipation of 325.5 kJ/kg, surpassing previously reported values at similar densities. The largest length scale in the reported nanolattice is the 500 nm unit-cell lattice constant, allowing the film to behave more like a continuum material and be visually unobservable. Fabricated using three-dimensional colloidal nanolithography and atomic layer deposition, the process can be scaled for large-area patterning. The proposed nanolattice film can find applications as a robust multifunctional insulating film that can be applied in integrated photonic elements, optoelectronic devices, and microcircuit chips.}, number={1}, journal={Scientific Reports}, publisher={Springer Science and Business Media LLC}, author={Bagal, Abhijeet and Zhang, Xu A. and Shahrin, Rahnuma and Dandley, Erinn C. and Zhao, Junjie and Poblete, Felipe R. and Oldham, Christopher J. and Zhu, Yong and Parsons, Gregory N. and Bobko, Christopher and et al.}, year={2017}, month={Aug} }
@article{hilton_taylor_hussain_dandley_griffith_garantziotis_parsons_bonner_bereman_2017, title={Mapping differential cellular protein response of mouse alveolar epithelial cells to multi-walled carbon nanotubes as a function of atomic layer deposition coating}, volume={11}, ISSN={["1743-5404"]}, DOI={10.1080/17435390.2017.1299888}, abstractNote={Abstract Carbon nanotubes (CNTs), a prototypical engineered nanomaterial, have been increasingly manufactured for a variety of novel applications over the past two decades. However, since CNTs possess fiber-like shape and cause pulmonary fibrosis in rodents, there is concern that mass production of CNTs will lead to occupational exposure and associated pulmonary diseases. The aim of this study was to use contemporary proteomics to investigate the mechanisms of cellular response in E10 mouse alveolar epithelial cells in vitro after exposure to multi-walled CNTs (MWCNTs) that were functionalized by atomic layer deposition (ALD). ALD is a method used to generate highly uniform and conformal nanoscale thin-film coatings of metals to enhance novel conductive properties of CNTs. We hypothesized that specific types of metal oxide coatings applied to the surface of MWCNTs by ALD would determine distinct proteomic profiles in mouse alveolar epithelial cells in vitro that could be used to predict oxidative stress and pulmonary inflammation. Uncoated (U)-MWCNTs were functionalized by ALD with zinc oxide (ZnO) to yield Z-MWCNTs or aluminum oxide (Al2O3) to yield A-MWCNTs. Significant differential protein expression was found in the following critical pathways: mTOR/eIF4/p70S6K signaling and Nrf-2 mediated oxidative stress response increased following exposure to Z-MWCNTs, interleukin-1 signaling increased following U-MWCNT exposure, and inhibition of angiogenesis by thrombospondin-1, oxidative phosphorylation, and mitochondrial dysfunction increased following A-MWCNT exposure. This study demonstrates that specific types of metal oxide thin film coatings applied by ALD produce distinct cellular and biochemical responses related to lung inflammation and fibrosis compared to uncoated MWCNT exposure in vitro.}, number={3}, journal={NANOTOXICOLOGY}, author={Hilton, Gina M. and Taylor, Alexia J. and Hussain, Salik and Dandley, Erinn C. and Griffith, Emily H. and Garantziotis, Stavros and Parsons, Gregory N. and Bonner, James C. and Bereman, Michael S.}, year={2017}, month={Apr}, pages={313–326} }
@article{lemaire_lee_zhao_parsons_2017, title={Reversible Low-Temperature Metal Node Distortion during Atomic Layer Deposition of Al2O3 and TiO2 on UiO-66-NH2 Metal-Organic Framework Crystal Surfaces}, volume={9}, ISSN={["1944-8252"]}, url={https://doi.org/10.1021/acsami.7b05214}, DOI={10.1021/acsami.7b05214}, abstractNote={Metal-organic frameworks (MOFs) are chemically functionalized micro- and mesoporous materials with high surface areas and are attractive for multiple applications including filtration, gas storage, and catalysis. Postsynthetic modification (PSM), via solution or vapor-based techniques, is a way to impart additional complexity and functionality into these materials. There is a desire to shift toward vapor-phase methods in order to ensure more controlled modification and more efficient reagent and solvent removal from the modified MOF material. In this work we explore how the metal precursors titanium tetrachloride (TiCl4) and trimethylaluminum (TMA), commonly used in atomic layer deposition, react with UiO-66-NH2 MOF. Using in situ quartz crystal microbalance (QCM) and Fourier transform infrared spectroscopy (FTIR) at 150 and 250 °C, we find that the ALD precursors react with μ3-OH hydroxyl and μ3-O bridging oxygen groups on Zr6 nodes, as well as oxygen from carboxylate linker groups. The reactions occur predominantly at the crystal surface at μ3-OH hydroxyl sites, with TiCl4 exhibiting greater diffusion into the MOF subsurface. FTIR analysis suggests that, at 150 °C, both TiCl4 and TMA reversibly dehydroxylate the hydroxylated UiO-66-NH2, which is accompanied by distortion of the zirconium metal clusters. Finally, we show that TiCl4 is able to react with the dehydroxylated UiO-66-NH2 structure, suggesting that TiCl4 is also able to react directly with the bridging oxygens in the metal clusters or carboxylate groups on the organic ligand. A better understanding of chemical and thermally driven MOF dehydroxylation reactions can be important for improved postsynthetic modification of MOFs.}, number={26}, journal={ACS APPLIED MATERIALS & INTERFACES}, publisher={American Chemical Society (ACS)}, author={Lemaire, Paul C. and Lee, Dennis T. and Zhao, Junjie and Parsons, Gregory N.}, year={2017}, month={Jul}, pages={22042–22054} }
@article{duke_taylor-just_ihrie_shipkowski_thompson_dandley_parsons_bonner_2017, title={STAT1-dependent and -independent pulmonary allergic and fibrogenic responses in mice after exposure to tangled versus rod-like multi-walled carbon nanotubes}, volume={14}, ISSN={["1743-8977"]}, DOI={10.1186/s12989-017-0207-3}, abstractNote={Pulmonary toxicity of multi-walled carbon nanotubes (MWCNTs) is influenced by physicochemical characteristics and genetic susceptibility. We hypothesized that contrasting rigidities of tangled (t) versus rod-like (r) MWCNTs would result in differing immunologic or fibrogenic responses in mice and that these responses would be exaggerated in transgenic mice lacking the signal transducer and activator of transcription-1 (STAT1), a susceptible mouse model of pulmonary fibrosis. Male wild type (Stat1 +/+ ) and STAT1-deficient (Stat1 −/− ) mice were exposed to 4 mg/kg tMWCNTs, rMWCNTs, or vehicle alone via oropharyngeal aspiration and evaluated for inflammation at one and 21 days post-exposure via histopathology, differential cell counts, and cytokine levels in bronchoalveolar lavage fluid (BALF). Granuloma formation, mucous cell metaplasia, and airway fibrosis were evaluated by quantitative morphometry. Airway epithelial cell proliferation was assessed by bromodeoxyuridine (BrdU) incorporation. Cytokine protein levels in BALF and serum IgE levels were measured by ELISA. Lung protein Smad2/3 levels and activation were measured by Western blot. Lung mRNAs were measured by PCR. There was a 7-fold difference in rigidity between tMWCNTs and rMWCNTs as determined by static bending ratio. Both MWCNT types resulted in acute inflammation (neutrophils in BALF) after one-day post-exposure, yet only rMWCNTs resulted in chronic inflammation at 21 days as indicated by neutrophil influx and larger granulomas. Both MWCNTs induced BrdU uptake in airway epithelial cells, with the greatest proliferative response observed in rMWCNT-exposed mice after one-day. Only rMWCNTs induced mucous cell metaplasia, but this index was not different between genotypes. Stat1 −/− mice had higher levels of baseline serum IgE than Stat1 +/+ mice. Greater airway fibrosis was observed with rMWCNTs compared to tMWCNTs, and exaggerated airway fibrosis was seen in the Stat1 −/− mouse lungs with rMWCNTs but not tMWCNTs. Increased fibrosis correlated with elevated levels of TGF-β1 protein levels in the BALF of Stat1 −/− mice exposed to rMWCNTs and increased lung Smad2/3 phosphorylation. Rigidity plays a key role in the toxicity of MWCNTs and results in increased inflammatory, immunologic, and fibrogenic effects in the lung. STAT1 is an important protective factor in the fibroproliferative response to rMWCNTs, regulating both induced TGF-β1 production and Smad2/3 phosphorylation status. Therefore, both rigidity and genetic susceptibility should be major considerations for risk assessment of MWCNTs.}, journal={PARTICLE AND FIBRE TOXICOLOGY}, author={Duke, Katherine S. and Taylor-Just, Alexia J. and Ihrie, Mark D. and Shipkowski, Kelly A. and Thompson, Elizabeth A. and Dandley, Erinn C. and Parsons, Gregory N. and Bonner, James C.}, year={2017}, month={Jul} }
@article{lemaire_parsons_2017, title={Thermal Selective Vapor Etching of TiO2: Chemical Vapor Etching via WF6 and Self-Limiting Atomic Layer Etching Using WF6 and BCl3}, volume={29}, ISSN={["1520-5002"]}, url={https://doi.org/10.1021/acs.chemmater.7b00985}, DOI={10.1021/acs.chemmater.7b00985}, abstractNote={Controlled thin film etching is essential for further development of sub-10 nm semiconductor devices. Vapor-phase thermal etching of oxides is appealing for achieving highly conformal etching of high aspect ratio features. We show that tungsten hexafluoride (WF6) can be used to selectively etch amorphous TiO2 films versus other oxides including Al2O3. Chemical vapor etching (CVE) of TiO2 by WF6 was studied with quartz crystal microbalance (QCM), spectroscopic ellipsometry, X-ray photoelectron spectroscopy (XPS), and thermodynamic modeling. The XPS results show evidence for a WOxFy layer that forms on of the TiO2 films during the etch process, which may act as a surfactant layer to help enable fluorination of the TiO2. Direct CVE of TiO2 by WF6 is strongly temperature dependent, where etching proceeds readily at 220 °C, but not at T ≤ 170 °C. This is consistent with thermodynamic modeling showing that the etching rate is determined by the volatilization of metal fluoride and WF2O2 product species. We also ...}, number={16}, journal={CHEMISTRY OF MATERIALS}, publisher={American Chemical Society (ACS)}, author={Lemaire, Paul C. and Parsons, Gregory N.}, year={2017}, month={Aug}, pages={6653–6665} }
@article{lee_zhao_oldham_peterson_parsons_2017, title={UiO-66-NH2 Metal Organic Framework (MOF) Nucleation on TiO2, ZnO, and Al2O3 Atomic Layer Deposition-Treated Polymer Fibers: Role of Metal Oxide on MOF Growth and Catalytic Hydrolysis of Chemical Warfare Agent Simulants}, volume={9}, ISSN={["1944-8244"]}, url={https://doi.org/10.1021/acsami.7b15397}, DOI={10.1021/acsami.7b15397}, abstractNote={Metal-organic frameworks (MOFs) chemically bound to polymeric microfibrous textiles show promising performance for many future applications. In particular, Zr-based UiO-66-family MOF-textiles have been shown to catalytically degrade highly toxic chemical warfare agents (CWAs), where favorable MOF/polymer bonding and adhesion are attained by placing a nanoscale metal-oxide layer on the polymer fiber preceding MOF growth. To date, however, the nucleation mechanism of Zr-based MOFs on different metal oxides and how product performance is affected are not well understood. Herein, we provide new insight into how different inorganic nucleation films (i.e., Al2O3, ZnO, or TiO2) conformally coated on polypropylene (PP) nonwoven textiles via atomic layer deposition (ALD) influence the quality, overall surface area, and the fractional yield of UiO-66-NH2 MOF crystals solvothermally grown on fiber substrates. Of the materials explored, we find that TiO2 ALD layers lead to the most effective overall MOF/fiber adhesion, uniformity, and a rapid catalytic degradation rate for a CWA simulant, dimethyl p-nitrophenyl phosphate (DMNP) with t1/2 = 15 min, 580-fold faster than the catalytic performance of untreated PP textiles. Interestingly, compared to ALD TiO2 and Al2O3, ALD ZnO induces a larger MOF yield in solution and mass loading on PP fibrous mats. However, this larger MOF yield is ascribed to chemical instability of the ZnO layer under MOF formation condition, leading to Zn2+ ions that promote further homogeneous MOF growth. Insights presented here improve understanding of compatibility between active MOF materials and substrate surfaces, which we believe will help advanced MOF composite materials for a variety of useful functions.}, number={51}, journal={ACS APPLIED MATERIALS & INTERFACES}, publisher={American Chemical Society (ACS)}, author={Lee, Dennis T. and Zhao, Junjie and Oldham, Christopher J. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2017}, month={Dec}, pages={44847–44855} }
@article{kim_losego_peng_parsons_2016, title={Atomic Layer Deposition for Sensitized Solar Cells: Recent Progress and Prospects}, volume={3}, ISSN={2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201600354}, DOI={10.1002/ADMI.201600354}, abstractNote={Atomic layer deposition (ALD) and molecular layer deposition (MLD) are vapor phase deposition techniques used to create conformal coatings with molecular‐level control of thickness and composition. Recently, ALD and MLD have been extensively exploited to engineer the complex interfaces of dye‐sensitized solar cells (DSSCs) and other molecularly functionalized photoelectrochemical devices to improve the performance and long‐term stability. This progress report describes the recent advances in the applications of ALD and MLD for sensitized solar cells including DSSCs then discusses current challenges and future opportunities of ALD.}, number={21}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Kim, Do Han and Losego, Mark D. and Peng, Qing and Parsons, Gregory N.}, year={2016}, month={Sep}, pages={1600354} }
@article{huang_li_gurarslan_yu_kirste_guo_zhao_collazo_sitar_parsons_et al._2016, title={Atomically Thin MoS2 Narrowband and Broadband Light Superabsorbers}, volume={10}, ISSN={["1936-086X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000381959100030&KeyUID=WOS:000381959100030}, DOI={10.1021/acsnano.6b02195}, abstractNote={We present a combined theoretical and experimental effort to enable strong light absorption (>70%) in atomically thin MoS2 films (≤4 layers) for either narrowband incidence with arbitrarily prespecified wavelengths or broadband incidence like solar radiation. This is achieved by integrating the films with resonant photonic structures that are deterministically designed using a unique reverse design approach based on leaky mode coupling. The design starts with identifying the properties of leaky modes necessary for the targeted strong absorption, followed by searching for the geometrical features of nanostructures to support the desired modes. This process is very intuitive and only involves a minimal amount of computation, thanks to the straightforward correlations between optical functionality and leaky modes as well as between leaky modes and the geometrical feature of nanostructures. The result may provide useful guidance for the development of high-performance atomic-scale photonic devices, such as solar cells, modulators, photodetectors, and photocatalysts.}, number={8}, journal={ACS NANO}, author={Huang, Lujun and Li, Guoqing and Gurarslan, Alper and Yu, Yiling and Kirste, Ronny and Guo, Wei and Zhao, Junjie and Collazo, Ramon and Sitar, Zlatko and Parsons, Gregory N. and et al.}, year={2016}, month={Aug}, pages={7493–7499} }
@article{lemaire_zhao_williams_walls_shepherd_losego_peterson_parsons_2016, title={Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition}, volume={8}, ISSN={["1944-8244"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000374274900078&KeyUID=WOS:000374274900078}, DOI={10.1021/acsami.6b01195}, abstractNote={Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.}, number={14}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Lemaire, Paul C. and Zhao, Junjie and Williams, Philip S. and Walls, Howard J. and Shepherd, Sarah D. and Losego, Mark D. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2016}, month={Apr}, pages={9514–9522} }
@inbook{ives_collins_oldham_stevens_williams_mantini_parsons_ieee_2016, title={Corrosion Mitigation Coatings for RF Sources and Components}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000386185700095&KeyUID=WOS:000386185700095}, booktitle={2016 Ieee International Vacuum Electronics Conference (Ivec)}, author={Ives, R. Lawrence and Collins, George and Oldham, Christopher J. and Stevens, Eric C. and Williams, Philip S. and Mantini, Michael J. and Parsons, Gregory N. and IEEE}, year={2016} }
@inproceedings{ives_collins_oldham_stevens_williams_mantini_parsons_2016, title={Corrosion mitigation coatings for RF sources and components}, DOI={10.1109/ivec.2016.7561851}, abstractNote={Most all high power RF sources and components require liquid cooling, usually high purity water. Copper and associated braze alloys are susceptible to corrosion if the water contains impurities or modest levels of oxygen. Unfortunately, high purity water is not readily available in many locations, including developing countries, remote sites, and naval vessels. The U.S. Navy is funding development of protective coatings to reduce or eliminate corrosion in copper coolant channels in RF sources and solenoids. This presentation will describe procedures for applying corrosion mitigation coatings in RF sources and associated components and equipment.}, booktitle={2016 ieee international vacuum electronics conference (ivec)}, author={Ives, R. L. and Collins, G. and Oldham, C. J. and Stevens, E. C. and Williams, P. S. and Mantini, M. J. and Parsons, G. N.}, year={2016} }
@article{tovar_zhao_nunn_barton_peterson_parsons_levan_2016, title={Diffusion of CO2 in Large Crystals of Cu-BTC MOF}, volume={138}, ISSN={["0002-7863"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000383410700007&KeyUID=WOS:000383410700007}, DOI={10.1021/jacs.6b05930}, abstractNote={Carbon dioxide adsorption in metal-organic frameworks has been widely studied for applications in carbon capture and sequestration. A critical component that has been largely overlooked is the measurement of diffusion rates. This paper describes a new reproducible procedure to synthesize millimeter-scale Cu-BTC single crystals using concentrated reactants and an acetic acid modulator. Microscopic images, X-ray diffraction patterns, Brunauer-Emmett-Teller surface areas, and thermogravimetric analysis results all confirm the high quality of these Cu-BTC single crystals. The large crystal size aids in the accurate measurement of micropore diffusion coefficients. Concentration-swing frequency response performed at varying gas-phase concentrations gives diffusion coefficients that show very little dependence on the loading up to pressures of 0.1 bar. The measured micropore diffusion coefficient for CO2 in Cu-BTC is 1.7 × 10(-9) m(2)/s.}, number={36}, journal={JOURNAL OF THE AMERICAN CHEMICAL SOCIETY}, author={Tovar, Trenton M. and Zhao, Junjie and Nunn, William T. and Barton, Heather F. and Peterson, Gregory W. and Parsons, Gregory N. and LeVan, M. Douglas}, year={2016}, month={Sep}, pages={11449–11452} }
@article{min_bagal_mundy_oldham_wu_parsons_chang_2016, title={Fabrication and design of metal nano-accordion structures using atomic layer deposition and interference lithography}, volume={8}, ISSN={["2040-3372"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000371479000021&KeyUID=WOS:000371479000021}, DOI={10.1039/c5nr08566g}, abstractNote={Fabricated free-standing platinum nano-accordion structures with a wide variety of cross-sectional profiles using a combination of ALD and IL.}, number={9}, journal={NANOSCALE}, author={Min, J. -H. and Bagal, A. and Mundy, J. Z. and Oldham, C. J. and Wu, B. -I. and Parsons, G. N. and Chang, C. -H.}, year={2016}, pages={4984–4990} }
@article{daubert_mundy_parsons_2016, title={Kevlar-Based Supercapacitor Fibers with Conformal Pseudocapacitive Metal Oxide and Metal Formed by ALD}, volume={3}, ISSN={2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201600355}, DOI={10.1002/ADMI.201600355}, abstractNote={Kevlar, poly(p‐phenylene terephthalamide) (PPTA), yarns are modified for use as flexible supercapacitor electrodes by coating them with a nanometer‐scale film of conductive platinum metal and pseudocapacitive V2O5 using atomic layer deposition (ALD). The PPTA yarns are incorporated into a solid‐state supercapacitor through the use of a polyvinyl alcohol/lithium chloride gel electrolyte. Pseudocapacitive V2O5 films 11 nm thick provide up to a 5× increase in areal capacitance (18.4 mF cm−2) over the Pt‐only‐coated PPTA. Thicker films of V2O5 and wrapping the electrodes together into a single yarn result in decreased areal capacitance due to charge‐transfer limitations. The work describes how ALD metal and metal oxide can be combined to add double layer and pseudocapacitive charge storage to mechanically robust PPTA creating unique multifunctional electronic fabric device systems.}, number={21}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Daubert, James S. and Mundy, J. Zachary and Parsons, Gregory N.}, year={2016}, month={Aug}, pages={1600355} }
@article{kim_losego_peng_parsons_2016, title={Thin Films: Atomic Layer Deposition for Sensitized Solar Cells: Recent Progress and Prospects (Adv. Mater. Interfaces 21/2016)}, volume={3}, ISSN={2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201670102}, DOI={10.1002/ADMI.201670102}, abstractNote={Atomic layer deposition (ALD), a technique based on vapor-phase, enables the deposition of ultrathin and conformal films on a variety of substrates; particles, tubes, fibers, and faceted surfaces. The exceptional conformality of ALD films and molecular-level control in the thickness and composition have attracted a great interest to functionalize nanoscale surfaces recently. In particular, such abilities of ALD have been capable of engineering surfaces of each component of Sensitized Solar Cells for improving the performance and reliability. Gregory N. Parsons and co-workers describe recent advances in this field in progress report 1600354.}, number={21}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Kim, Do Han and Losego, Mark D. and Peng, Qing and Parsons, Gregory N.}, year={2016}, month={Nov} }
@article{zhao_lee_yaga_hall_barton_woodward_oldham_walls_peterson_parsons_2016, title={Ultra-Fast Degradation of Chemical Warfare Agents Using MOF-Nanofiber Kebabs}, volume={55}, ISSN={["1521-3773"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000385799200053&KeyUID=WOS:000385799200053}, DOI={10.1002/anie.201606656}, abstractNote={AbstractThe threat associated with chemical warfare agents (CWAs) motivates the development of new materials to provide enhanced protection with a reduced burden. Metal–organic frame‐works (MOFs) have recently been shown as highly effective catalysts for detoxifying CWAs, but challenges still remain for integrating MOFs into functional filter media and/or protective garments. Herein, we report a series of MOF–nanofiber kebab structures for fast degradation of CWAs. We found TiO2 coatings deposited via atomic layer deposition (ALD) onto polyamide‐6 nanofibers enable the formation of conformal Zr‐based MOF thin films including UiO‐66, UiO‐66‐NH2, and UiO‐67. Cross‐sectional TEM images show that these MOF crystals nucleate and grow directly on and around the nanofibers, with strong attachment to the substrates. These MOF‐functionalized nanofibers exhibit excellent reactivity for detoxifying CWAs. The half‐lives of a CWA simulant compound and nerve agent soman (GD) are as short as 7.3 min and 2.3 min, respectively. These results therefore provide the earliest report of MOF–nanofiber textile composites capable of ultra‐fast degradation of CWAs.}, number={42}, journal={ANGEWANDTE CHEMIE-INTERNATIONAL EDITION}, author={Zhao, Junjie and Lee, Dennis T. and Yaga, Robert W. and Hall, Morgan G. and Barton, Heather F. and Woodward, Ian R. and Oldham, Christopher J. and Walls, Howard J. and Peterson, Gregory W. and Parsons, Gregory N.}, year={2016}, month={Oct}, pages={13224–13228} }
@article{lemaire_king_parsons_2017, title={Understanding inherent substrate selectivity during atomic layer deposition: Effect of surface preparation, hydroxyl density, and metal oxide composition on nucleation mechanisms during tungsten ALD}, volume={146}, ISSN={["1089-7690"]}, url={https://doi.org/10.1063/1.4967811}, DOI={10.1063/1.4967811}, abstractNote={Area-selective thin film deposition is expected to be important for advanced sub-10 nanometer semiconductor devices, enabling feature patterning, alignment to underlying structures, and edge definition. Several atomic layer deposition (ALD) processes show inherent propensity for substrate-dependent nucleation. This includes tungsten ALD (W-ALD) which is more energetically favorable on Si than on SiO2. However, the selectivity is often lost after several ALD cycles. We investigated the causes of tungsten nucleation on SiO2 and other “non-growth” surfaces during the WF6/SiH4 W-ALD process to determine how to expand the “selectivity window.” We propose that hydroxyls, generated during the piranha clean, act as nucleation sites for non-selective deposition and show that by excluding the piranha clean or heating the samples, following the piranha clean, extends the tungsten selectivity window. We also assessed how the W-ALD precursors interact with different oxide substrates though individual WF6 and SiH4 pre-exposures prior to W-ALD deposition. We conclude that repeated SiH4 pre-exposures reduce the tungsten nucleation delay, which is attributed to SiH4 adsorption on hydroxyl sites. In addition, oxide surfaces were repeatedly exposed to WF6, which appears to form metal fluoride species. We attribute the different tungsten nucleation delay on Al2O3 and TiO2 to the formation of nonvolatile and volatile metal fluoride species, respectively. Through this study, we have increased the understanding of ALD nucleation and substrate selectivity, which are pivotal to improving the selectivity window for W-ALD and other ALD processes.}, number={5}, journal={JOURNAL OF CHEMICAL PHYSICS}, publisher={AIP Publishing}, author={Lemaire, Paul C. and King, Mariah and Parsons, Gregory N.}, year={2017}, month={Feb} }
@article{dandley_lemaire_zhu_yoon_sheet_parsons_2017, title={Wafer-Scale Selective-Area Deposition of Nanoscale Metal Oxide Features Using Vapor Saturation into Patterned Poly(methyl methacrylate) Templates}, volume={4}, ISSN={2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201601178}, DOI={10.1002/ADMI.201601178}, abstractNote={Adv. Mater. Interfaces. 2016, 3, 1500431 Figure 4 in this manuscript was published missing a label. The corrected version is below. In addition, two units in Experimental Section Polymer Patterning were published incorrectly. The corrected paragraph is below. Polymer Patterning: Patterning was accomplished using a Raith 150TWO electron beam lithography system. Test samples were used to determine proper dosing times for varying thicknesses of PMMA. For example, 200 nm PMMA was patterned with an area dose of 180 uC cm–2 and a line dose of 900 pC. Patterns were developed in 1:3 isopropyl alcohol: methyl isobutyl ketone (Acros) for 55 s and then isopropyl alcohol alone for additional 30 s. PMMA acts as a positive photoresist where the areas exposed to the electron beam are removed. The Raith system is available through North Carolina State University's Nanofabrication Facility and was used to pattern PMMA features as small as 30 nm. The authors apologise for any inconvenience caused by this oversight.}, number={4}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Dandley, Erinn C. and Lemaire, Paul C. and Zhu, Zhongwei and Yoon, Alex and Sheet, Lubab and Parsons, Gregory N.}, year={2017}, month={Feb} }
@article{wang_zhao_bagal_dandley_oldham_fang_parsons_chang_2016, title={Wicking Enhancement in Three-Dimensional Hierarchical Nanostructures}, volume={32}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000381654900004&KeyUID=WOS:000381654900004}, DOI={10.1021/acs.langmuir.6b01864}, abstractNote={Wicking, the absorption of liquid into narrow spaces without the assistance of external forces, has drawn much attention due to its potential applications in many engineering fields. Increasing surface roughness using micro/nanostructures can improve capillary action to enhance wicking. However, reducing the structure length scale can also result in significant viscous forces to impede wicking. In this work, we demonstrate enhanced wicking dynamics by using nanostructures with three-dimensional (3D) hierarchical features to increase the surface area while mitigating the obstruction of liquid flow. The proposed structures were engineered using a combination of interference lithography and hydrothermal synthesis of ZnO nanowires, where structures at two length scales were independently designed to control wicking behavior. The fabricated hierarchical 3D structures were tested for water and ethanol wicking properties, demonstrating improved wicking dynamics with intermediate nanowire lengths. The experimental data agree with the derived fluid model based on the balance of capillary and vicious forces. The hierarchical wicking structures can be potentially used in applications in water harvesting surfaces, microfluidics, and integrated heat exchangers.}, number={32}, journal={LANGMUIR}, author={Wang, Zhiting and Zhao, Junjie and Bagal, Abhijeet and Dandley, Erinn C. and Oldham, Christopher J. and Fang, Tiegang and Parsons, Gregory N. and Chang, Chih-Hao}, year={2016}, month={Aug}, pages={8029–8033} }
@article{dandley_taylor_duke_ihrie_shipkowski_parsons_bonner_2016, title={Atomic layer deposition coating of carbon nanotubes with zinc oxide causes acute phase immune responses in human monocytes in vitro and in mice after pulmonary exposure}, volume={13}, ISSN={["1743-8977"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000378430800002&KeyUID=WOS:000378430800002}, DOI={10.1186/s12989-016-0141-9}, abstractNote={Atomic layer deposition (ALD) is a method for applying conformal nanoscale coatings on three-dimensional structures. We hypothesized that surface functionalization of multi-walled carbon nanotubes (MWCNTs) with polycrystalline ZnO by ALD would alter pro-inflammatory cytokine expression by human monocytes in vitro and modulate the lung and systemic immune response following oropharyngeal aspiration in mice. Pristine (U-MWCNTs) were coated with alternating doses of diethyl zinc and water over increasing ALD cycles (10 to 100 ALD cycles) to yield conformal ZnO-coated MWCNTs (Z-MWCNTs). Human THP-1 monocytic cells were exposed to U-MWCNTs or Z-MWCNTs in vitro and cytokine mRNAs measured by Taqman real-time RT-PCR. Male C57BL6 mice were exposed to U- or Z-MWCNTs by oropharyngeal aspiration (OPA) and lung inflammation evaluated at one day post-exposure by histopathology, cytokine expression and differential counting of cells in bronchoalveolar lavage fluid (BALF) cells. Lung fibrosis was evaluated at 28 days. Cytokine mRNAs (IL-6, IL-1β, CXCL10, TNF-α) in lung, heart, spleen, and liver were quantified at one and 28 days. DNA synthesis in lung tissue was measured by bromodeoxyuridine (BrdU) uptake. ALD resulted in a conformal coating of MWCNTs with ZnO that increased proportionally to the number of coating cycles. Z-MWCNTs released Zn+2 ions in media and increased IL-6, IL-1β, CXCL10, and TNF-α mRNAs in THP-1 cells in vitro. Mice exposed to Z-MWCNTs by OPA had exaggerated lung inflammation and a 3-fold increase in monocytes and neutrophils in BALF compared to U-MWCNTs. Z-MWCNTs, but not U-MWCNTs, induced IL-6 and CXCL10 mRNA and protein in the lungs of mice and increased IL-6 mRNA in heart and liver. U-MWCNTs but not Z-MWCNTs stimulated airway epithelial DNA synthesis in vivo. Lung fibrosis at 28 days was not significantly different between mice treated with U-MWCNT or Z-MWCNT. Pulmonary exposure to ZnO-coated MWCNTs produces a systemic acute phase response that involves the release of Zn+2, lung epithelial growth arrest, and increased IL-6. ALD functionalization with ZnO generates MWCNTs that possess increased risk for human exposure.}, journal={PARTICLE AND FIBRE TOXICOLOGY}, author={Dandley, Erinn C. and Taylor, Alexia J. and Duke, Katherine S. and Ihrie, Mark D. and Shipkowski, Kelly A. and Parsons, Gregory N. and Bonner, James C.}, year={2016}, month={Jun} }
@misc{brozena_oldham_parsons_2016, title={Atomic layer deposition on polymer fibers and fabrics for multifunctional and electronic textiles}, volume={34}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000375115800001&KeyUID=WOS:000375115800001}, DOI={10.1116/1.4938104}, abstractNote={Textile materials, including woven cotton, polymer knit fabrics, and synthetic nonwoven fiber mats, are being explored as low-cost, flexible, and light-weight platforms for wearable electronic sensing, communication, energy generation, and storage. The natural porosity and high surface area in textiles is also useful for new applications in environmental protection, chemical decontamination, pharmaceutical and chemical manufacturing, catalytic support, tissue regeneration, and others. These applications raise opportunities for new chemistries, chemical processes, biological coupling, and nanodevice systems that can readily combine with textile manufacturing to create new “multifunctional” fabrics. Atomic layer deposition (ALD) has a unique ability to form highly uniform and conformal thin films at low processing temperature on nonuniform high aspect ratio surfaces. Recent research shows how ALD can coat, modify, and otherwise improve polymer fibers and textiles by incorporating new materials for viable electronic and other multifunctional capabilities. This article provides a current overview of the understanding of ALD coating and modification of textiles, including current capabilities and outstanding problems, with the goal of providing a starting point for further research and advances in this field. After a brief introduction to textile materials and current textile treatment methods, the authors discuss unique properties of ALD-coated textiles, followed by a review of recent electronic and multifunctional textiles that use ALD coatings either as direct functional components or as critical nucleation layers for active materials integration. The article concludes with possible future directions for ALD on textiles, including the challenges in materials, manufacturing, and manufacturing integration that must be overcome for ALD to reach its full potential in electronic and other emerging multifunctional textile systems.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Brozena, Alexandra H. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2016}, month={Jan} }
@article{knauf_kalanyan_parsons_dempsey_2015, title={Charge Recombination Dynamics in Sensitized SnO2/TiO2 Core/Shell Photoanodes}, volume={119}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000367561700014&KeyUID=WOS:000367561700014}, DOI={10.1021/acs.jpcc.5b10574}, abstractNote={Studies have been conducted to examine the mechanisms of charge recombination in dye-sensitized SnO2/TiO2 core/shell films. Nanostructured SnO2/TiO2 core/shell films varying in TiO2 shell thicknesses were prepared via atomic layer deposition and sensitized with a phosphonate-derivatized ruthenium chromophore [Ru(bpy)2(4,4′-(PO3H2)2bpy)]2+. Transient absorption spectroscopy was used to study the interfacial charge recombination dynamics for these core/shell materials. Charge recombination for sensitized, as-deposited SnO2/TiO2 core/shell systems is dominated by a tunneling mechanism for shell thicknesses between 0 and 3.2 nm, with β = 0.25 A–1. For shell thicknesses greater than 3.2 nm, recombination primarily proceeds directly via electrons localized in the relatively thick TiO2 shell. Annealing the SnO2/TiO2 core/shell structure at 450 °C affects the recombination dynamics substantially; charge recombination dynamics for the annealed films do not show a dependence on shell thickness and are comparable to...}, number={51}, journal={Journal of Physical Chemistry C}, author={Knauf, Robin R. and Kalanyan, Berc and Parsons, Gregory and Dempsey, Jillian L.}, year={2015}, pages={28353–28360} }
@article{vogel_williams_brozena_sen_atanasov_parsons_khan_2015, title={Delayed Dissolution and Small Molecule Release from Atomic Layer Deposition Coated Electrospun Nanofibers}, volume={2}, ISSN={2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201500229}, DOI={10.1002/ADMI.201500229}, abstractNote={Electrospun poly (vinyl alcohol) nanofibers are coated with aluminum oxide using atomic layer deposition (ALD) to control the dissolution rate of the nanofiber mats in high‐humidity and aqueous environments. In this regard, ALD offers an effective method to provide a robust, conformal coating to the entire nanofiber surface without modifying the core material. The thickness of the coating, controlled by varying the number of ALD cycles from 2 to 200, enables tuning of the nanofiber stability in water from a few seconds for an uncoated sample to over 5 weeks for a 200 cycle coated sample. Changing the rate of nanofiber dissolution modulates the release of embedded small molecules within the polymer matrix from minutes to weeks while minimizing the “burst” effect typically associated with nanoscale systems. This simple nanofiber coating technique shows great potential as a method to tune shelf‐life, mat degradation, and small molecule release from highly water‐soluble polymers, hitherto unexplored, in a wide range of fields, including biomedical, agricultural, and packaging.}, number={18}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Vogel, Nancy A. and Williams, Philip S. and Brozena, Alexandra H. and Sen, Dilara and Atanasov, Sarah and Parsons, Gregory N. and Khan, Saad A.}, year={2015}, month={Oct}, pages={1500229} }
@article{daubert_lewis_gotsch_mundy_monroe_dickey_losego_parsons_2015, title={Effect of Meso- and Micro-Porosity in Carbon Electrodes on Atomic Layer Deposition of Pseudocapacitive V2O5 for High Performance Supercapacitors}, volume={27}, ISSN={0897-4756 1520-5002}, url={http://dx.doi.org/10.1021/acs.chemmater.5b01602}, DOI={10.1021/acs.chemmater.5b01602}, abstractNote={Atomic layer deposition (ALD) of vanadium oxide is a viable means to add pseudocapacitive layers to porous carbon electrodes. Two commercial activated carbon materials with different surface areas and pore structures were acid treated and coated by V2O5 ALD using vanadium triisopropoxide and water at 150 °C. The V2O5 ALD process was characterized at various temperatures to confirm saturated ALD growth conditions. Capacitance and electrochemical impedance analysis of subsequently constructed electrochemical capacitors (ECs) showed improved charge storage for the ALD coated electrodes, but the extent of improvement depended on initial pore structure. The ALD of V2O5 onto mesoporous carbon increased the capacitance by up to 46% after 75 ALD cycles and obtained a maximum pseudocapacitance of 540 F/g(V2O5) after 25 ALD cycles, while maintaining low electrical resistance, high columbic efficiency, and a high cycle life. However, adding V2O5 ALD to microporous carbons with pore diameters of <11 A showed far less...}, number={19}, journal={Chemistry of Materials}, publisher={American Chemical Society (ACS)}, author={Daubert, James S. and Lewis, Neal P. and Gotsch, Hannah N. and Mundy, J. Zachary and Monroe, David N. and Dickey, Elizabeth C. and Losego, Mark D. and Parsons, Gregory N.}, year={2015}, month={Sep}, pages={6524–6534} }
@article{zhao_nunn_lemaire_lin_dickey_oldham_walls_peterson_losego_parsons_et al._2015, title={Facile Conversion of Hydroxy Double Salts to Metal-Organic Frameworks Using Metal Oxide Particles and Atomic Layer Deposition Thin-Film Templates}, volume={137}, ISSN={["1520-5126"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000364355900009&KeyUID=WOS:000364355900009}, DOI={10.1021/jacs.5b08752}, abstractNote={Rapid room-temperature synthesis of metal-organic frameworks (MOFs) is highly desired for industrial implementation and commercialization. Here we find that a (Zn,Cu) hydroxy double salt (HDS) intermediate formed in situ from ZnO particles or thin films enables rapid growth (<1 min) of HKUST-1 (Cu3(BTC)2) at room temperature. The space-time-yield reaches >3 × 10(4) kg·m(-3)·d(-1), at least 1 order of magnitude greater than any prior report. The high anion exchange rate of (Zn,Cu) hydroxy nitrate HDS drives the ultrafast MOF formation. Similarly, we obtained Cu-BDC, ZIF-8, and IRMOF-3 structures from HDSs, demonstrating synthetic generality. Using ZnO thin films deposited via atomic layer deposition, MOF patterns are obtained on pre-patterned surfaces, and dense HKUST-1 coatings are grown onto various form factors, including polymer spheres, silicon wafers, and fibers. Breakthrough tests show that the MOF-functionalized fibers have high adsorption capacity for toxic gases. This rapid synthesis route is also promising for new MOF-based composite materials and applications.}, number={43}, journal={JOURNAL OF THE AMERICAN CHEMICAL SOCIETY}, publisher={American Chemical Society (ACS)}, author={Zhao, Junjie and Nunn, William T. and Lemaire, Paul C. and Lin, Yiliang and Dickey, Michael and Oldham, Christopher J. and Walls, Howard J. and Peterson, Gregory W. and Losego, Mark D. and Parsons, Gregory N. and et al.}, year={2015}, month={Nov}, pages={13756–13759} }
@article{sweet_parsons_2015, title={In Situ Conductance Analysis of Zinc Oxide Nucleation and Coalescence during Atomic Layer Deposition on Metal Oxides and Polymers}, volume={31}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000357839200013&KeyUID=WOS:000357839200013}, DOI={10.1021/acs.langmuir.5b00665}, abstractNote={Real time in situ conductance is collected continuously during atomic layer deposition (ALD) of zinc oxide films, and trends are used to study ALD nucleation on polypropylene, nylon-6, SiO2, TiO2, and Al2O3 substrates. The detailed conductance change during the ALD cycle is ascribed to changes in surface band bending upon precursor/reactant exposure. Conductive pathways form earlier on the inorganic surfaces than on the polymers, with Al2O3 substrates showing more rapid nucleation than SiO2 or TiO2, consistent with the expected density of nucleation sites (e.g., hydroxyl groups) on these different materials. The measured conductance is ohmic, and both two- and four-electrode configurations show the same data trends. Detailed analysis of conductivity at deposition temperatures between 100 and 175 °C shows faster conductivity decay at higher temperature during the water purge step, ascribed to thermally activated water desorption kinetics. Analysis of real-time conductivity during ALD of other material systems could provide further insight into key aspects of film nucleation and nuclei coalescence.}, number={26}, journal={LANGMUIR}, author={Sweet, William J., III and Parsons, Gregory N.}, year={2015}, month={Jul}, pages={7274–7282} }
@article{atanasov_kalanyan_parsons_2016, title={Inherent substrate-dependent growth initiation and selective-area atomic layer deposition of TiO2 using "water-free" metal-halide/metal alkoxide reactants}, volume={34}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000375115800049&KeyUID=WOS:000375115800049}, DOI={10.1116/1.4938481}, abstractNote={Titanium dioxide atomic layer deposition (ALD) is shown to proceed selectively on oxidized surfaces with minimal deposition on hydrogen-terminated silicon using titanium tetrachloride (TiCl4) and titanium tetra-isopropoxide [Ti(OCH(CH3)2)4, TTIP] precursors. Ex situ x-ray photoelectron spectroscopy shows a more rapid ALD nucleation rate on both Si–OH and Si–H surfaces when water is the oxygen source. Eliminating water delays the oxidation of the hydrogen-terminated silicon, thereby impeding TiO2 film growth. For deposition at 170 °C, the authors achieve ∼2 nm of TiO2 on SiO2 before substantial growth takes place on Si–H. On both Si–H and Si–OH, the surface reactions proceed during the first few TiCl4/TTIP ALD exposure steps where the resulting products act to impede subsequent growth, especially on Si–H surfaces. Insight from this work helps expand understanding of “inherent” substrate selective ALD, where native differences in substrate surface reaction chemistry are used to promote desired selective-area growth.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Atanasov, Sarah E. and Kalanyan, Berc and Parsons, Gregory N.}, year={2016}, month={Jan} }
@article{mundy_shafiefarhood_li_khan_parsons_2016, title={Low temperature platinum atomic layer deposition on nylon-6 for highly conductive and catalytic fiber mats}, volume={34}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000375115800053&KeyUID=WOS:000375115800053}, DOI={10.1116/1.4935448}, abstractNote={Low temperature platinum atomic layer deposition (Pt-ALD) via (methylcyclopentadienyl)trimethyl platinum and ozone (O3) is used to produce highly conductive nonwoven nylon-6 (polyamide-6, PA-6) fiber mats, having effective conductivities as high as ∼5500–6000 S/cm with only a 6% fractional increase in mass. The authors show that an alumina ALD nucleation layer deposited at high temperature is required to promote Pt film nucleation and growth on the polymeric substrate. Fractional mass gain scales linearly with Pt-ALD cycle number while effective conductivity exhibits a nonlinear trend with cycle number, corresponding to film coalescence. Field-emission scanning electron microscopy reveals island growth mode of the Pt film at low cycle number with a coalesced film observed after 200 cycles. The metallic coating also exhibits exceptional resistance to mechanical flexing, maintaining up to 93% of unstressed conductivity after bending around cylinders with radii as small as 0.3 cm. Catalytic activity of the as-deposited Pt film is demonstrated via carbon monoxide oxidation to carbon dioxide. This novel low temperature processing allows for the inclusion of highly conductive catalytic material on a number of temperature-sensitive substrates with minimal mass gain for use in such areas as smart textiles and flexible electronics.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Mundy, J. Zachary and Shafiefarhood, Arya and Li, Fanxing and Khan, Saad A. and Parsons, Gregory N.}, year={2016}, month={Jan} }
@article{bagal_dandley_zhao_zhang_oldham_parsons_chang_2015, title={Multifunctional nano-accordion structures for stretchable transparent conductors}, volume={2}, ISSN={["2051-6355"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000359586600003&KeyUID=WOS:000359586600003}, DOI={10.1039/c5mh00070j}, abstractNote={Nano-accordion structures allow brittle materials to stretch, enabling transparent conductors that are stretchable and flexible.}, number={5}, journal={MATERIALS HORIZONS}, author={Bagal, Abhijeet and Dandley, Erinn C. and Zhao, Junjie and Zhang, Xu A. and Oldham, Christopher J. and Parsons, Gregory N. and Chang, Chih-Hao}, year={2015}, month={Sep}, pages={486–494} }
@article{zhang_bagal_dandley_zhao_oldham_wu_parsons_chang_2015, title={Ordered 3D Thin-Shell Nanolattice Materials with Near-Unity Refractive Indices}, volume={25}, ISSN={["1616-3028"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000365532100009&KeyUID=WOS:000365532100009}, DOI={10.1002/adfm.201502854}, abstractNote={The refractive indices of naturally occurring materials are limited, and there exists an index gap between indices of air and available solid materials. With many photonics and electronics applications, there has been considerable effort in creating artificial materials with optical and dielectric properties similar to air while simultaneously being mechanically stable to bear load. Here, a class of ordered nanolattice materials consisting of periodic thin‐shell structures with near‐unity refractive index and high stiffness is demonstrated. Using a combination of 3D nanolithography and atomic layer deposition, these ordered nanostructured materials have reduced optical scattering and improved mechanical stability compared to existing randomly porous materials. Using ZnO and Al2O3 as the building materials, refractive indices from 1.3 down to 1.025 are achieved. The experimental data can be accurately described by Maxwell Garnett effective media theory, which can provide a guide for index design. The demonstrated low‐index, low‐scattering, and high‐stiffness materials can serve as high‐quality optical films in multilayer photonic structures, waveguides, resonators, and ultra‐low‐k dielectrics.}, number={42}, journal={ADVANCED FUNCTIONAL MATERIALS}, author={Zhang, Xu A. and Bagal, Abhijeet and Dandley, Erinn C. and Zhao, Junjie and Oldham, Christopher J. and Wu, Bae-Ian and Parsons, Gregory N. and Chang, Chih-Hao}, year={2015}, month={Nov}, pages={6644–6649} }
@article{kim_atanasov_lemaire_lee_parsons_2015, title={Platinum-Free Cathode for Dye-Sensitized Solar Cells Using Poly(3,4-ethylenedioxythiophene) (PEDOT) Formed via Oxidative Molecular Layer Deposition}, volume={7}, ISSN={["1944-8244"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000350193000002&KeyUID=WOS:000350193000002}, DOI={10.1021/am5084418}, abstractNote={Thin ∼ 20 nm conformal poly(3,4-ehylenedioxythiophene) (PEDOT) films are incorporated in highly conductive mesoporous indium tin oxide (m-ITO) by oxidative molecular layer deposition (oMLD). These three-dimensional catalytic/conductive networks are successfully employed as Pt-free cathodes for dye-sensitized solar cells (DSSCs) with open circuit voltage equivalent to Pt cathode devices. Thin and conformal PEDOT films on m-ITO by oMLD create high surface area and efficient electron transport paths to promote productive reduction reaction on the PEDOT film. Because of these two synergetic effects, PEDOT-coated m-ITO by oMLD shows power conversion efficiency, 7.18%, comparable to 7.26% of Pt, and higher than that of planar PEDOT coatings, which is 4.85%. Thus, PEDOT-coated m-ITO is an exceptional opportunity to compete with Pt catalysts for low-cost energy conversion devices.}, number={7}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Kim, Do Han and Atanasov, Sarah E. and Lemaire, Paul and Lee, Kyoungmi and Parsons, Gregory N.}, year={2015}, month={Feb}, pages={3866–3870} }
@article{mousa_oldham_parsons_2015, title={Precise Nanoscale Surface Modification and Coating of Macroscale Objects: Open-Environment in Loco Atomic Layer Deposition on an Automobile}, volume={7}, ISSN={["1944-8252"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000361252400001&KeyUID=WOS:000361252400001}, DOI={10.1021/acsami.5b05262}, abstractNote={The fundamental chemical reaction conditions that define atomic layer deposition (ALD) can be achieved in an open environment on a macroscale surface too large and complex for typical laboratory reactor-based ALD. We describe the concept of in loco ALD using conventional modulated reactant flow through a surface-mounted "ALD delivery head" to form a precise nanoscale Al2O3 film on the window of a parked automobile. Analysis confirms that the processes eliminated ambient water contamination and met other conditions that define ALD growth. Using this tool, we demonstrate open-ambient patterned deposition, metal corrosion protection, and polymer surface modification.}, number={35}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Mousa, Moataz Bellah M. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2015}, month={Sep}, pages={19523–19529} }
@article{lemaire_oldham_parsons_2016, title={Rapid visible color change and physical swelling during water exposure in triethanolamine-metalcone films formed by molecular layer deposition}, volume={34}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000375115800035&KeyUID=WOS:000375115800035}, DOI={10.1116/1.4937222}, abstractNote={Molecular layer deposition (MLD) of “metalcones,” including alucone, zincone, titanicone, and others, involves self-limiting half-reactions between organic and organometallic (or metal-halide) reactants. Studies have typically focused on metal precursors reacting with ethylene glycol or glycerol to form the films' polymeric O-M-O-(CHx)y-O-M-O repeat units. The authors report new MLD materials that incorporate tertiary amine groups into the organic linkage. Specifically, reacting triethanolamine (TEA) with either trimethylaluminum or titanium tetrachloride produces TEA-alucone (Al-TEA) and TEA-titanicone (Ti-TEA), respectively, and the amine group leads to unique physical and optical properties. Fourier-transform infrared (FTIR) analysis confirms that the films have prominent C-H, C-N, and M-O-C peaks, consistent with the expected bond structure. When exposed to vapors, including water, alcohol, or ammonia, the Ti-TEA films changed their visible color within minutes and increased physical thickness by >35%. The Al-TEA showed significantly less response. X-ray photoelectron spectroscopy and FTIR suggest that HCl generated during MLD coordinates to the amine forming a quaternary ammonium salt that readily binds adsorbates via hydrogen bonding. The visible color change is reversible, and ellipsometry confirms that the color change results from vapor absorption. The unique absorptive and color-changing properties of the TEA-metalcone films point to new possible applications for MLD materials in filtration, chemical absorption, and multifunctional chemical separations/sensing device systems.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lemaire, Paul C. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2016}, month={Jan} }
@article{hilton_taylor_mcclure_parsons_bonner_bereman_2015, title={Toxicoproteomic analysis of pulmonary carbon nanotube exposure using LC-MS/MS}, volume={329}, ISSN={["0300-483X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000350519500009&KeyUID=WOS:000350519500009}, DOI={10.1016/j.tox.2015.01.011}, abstractNote={Toxicoproteomics is a developing field that utilizes global proteomic methodologies to investigate the physiological response as a result of adverse toxicant exposure. The aim of this study was to compare the protein secretion profile in lung bronchoalveolar lavage fluid (BALF) from mice exposed to non-functionalized multi-walled carbon nanotubes (U-MWCNTs) or MWCNTs functionalized by nanoscale Al2O3 coatings (A-MWCNT) formed using atomic layer deposition (ALD). Proteins were identified using liquid chromatography tandem mass spectrometry (LC-MS/MS), and quantified using a combination of two label-free proteomic methods: spectral counting and MS1 peak area analysis. On average 465 protein groups were identified per sample and proteins were first screened using spectral counting and the Fisher's exact test to determine differentially regulated species. Significant proteins by Fisher's exact test (p<0.05) were then verified by integrating the intensity under the extracted ion chromatogram from a single unique peptide for each protein across all runs. A two sample t-test based on integrated peak intensities discovered differences in 27 proteins for control versus U-MWCNT, 13 proteins for control versus A-MWCNT, and 2 proteins for U-MWCNT versus A-MWCNT. Finally, an in-vitro binding experiment was performed yielding 4 common proteins statistically different (p<0.05) for both the in-vitro and in-vivo study. Several of the proteins found to be significantly different between exposed and control groups are known to play a key role in inflammatory and immune response. A comparison between the in-vitro and in-vivo CNT exposure emphasized a true biological response to CNT exposure.}, journal={TOXICOLOGY}, author={Hilton, Gina M. and Taylor, Alexia J. and McClure, Christina D. and Parsons, Gregory N. and Bonner, James C. and Bereman, Michael S.}, year={2015}, month={Mar}, pages={80–87} }
@article{kalanyan_lemaire_atanasov_ritz_parsons_2016, title={Using Hydrogen To Expand the Inherent Substrate Selectivity Window During Tungsten Atomic Layer Deposition}, volume={28}, ISSN={["1520-5002"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000368322600017&KeyUID=WOS:000368322600017}, DOI={10.1021/acs.chemmater.5b03319}, abstractNote={Area-selective thin film deposition is expected to be important in achieving sub-10 nm semiconductor devices, enabling feature patterning, alignment to underlying structures, and edge definition. Atomic layer deposition (ALD) offers advantages over common chemical vapor deposition methods, such as precise thickness control and excellent conformality. Furthermore, several ALD processes show inherent propensity for substrate-dependent nucleation. For example, tungsten ALD using SiH4 (or Si2H6) and WF6 is more energetically favorable on Si than on SiO2, but selectivity is often lost after several ALD cycles. We show that modifying the W ALD process chemistry can decrease the W nucleation rate on SiO2, thereby expanding the ALD “selectivity window”. Specifically, we find that adding H2 during the WF6 dose step helps passivate SiO2 against W nucleation without modifying W growth on silicon. Surface characterization confirms that H2 promotes fluorine passivation of SiO2, likely through surface reactions with HF...}, number={1}, journal={CHEMISTRY OF MATERIALS}, author={Kalanyan, Berc and Lemaire, Paul C. and Atanasov, Sarah E. and Ritz, Mariah J. and Parsons, Gregory N.}, year={2016}, month={Jan}, pages={117–126} }
@article{dandley_lemaire_zhu_yoon_sheet_parsons_2016, title={Wafer-Scale Selective-Area Deposition of Nanoscale Metal Oxide Features Using Vapor Saturation into Patterned Poly(methyl methacrylate) Templates}, volume={3}, ISSN={["2196-7350"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000370043000006&KeyUID=WOS:000370043000006}, DOI={10.1002/admi.201500431}, abstractNote={Patterned, chemically reactive poly(methyl)methacrylate can act as a chemical “sponge” via Lewis acid/base adduct formation with metal‐organic reactants commonly used in atomic layer deposition. Extended reactant exposures saturate the reactant within the polymer, and subsequent oxidation removes the polymer and converts the saturated reactant to a metal oxide film that precisely mimics the lateral dimensions of the original polymer. Resulting oxide thickness scales with the starting polymer thickness. Regions without polymer are coated with less than 1 nm of metal oxide. Repeatable nanoscale features are formed simultaneously and uniformly across a 150 mm diameter silicon wafer.}, number={2}, journal={ADVANCED MATERIALS INTERFACES}, author={Dandley, Erinn C. and Lemaire, Paul C. and Zhu, Zhongwei and Yoon, Alex and Sheet, Lubab and Parsons, Gregory N.}, year={2016}, month={Jan} }
@article{he_trainham_parsons_newman_li_2014, title={A hybrid solar-redox scheme for liquid fuel and hydrogen coproduction}, volume={7}, ISSN={["1754-5706"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000336831700027&KeyUID=WOS:000336831700027}, DOI={10.1039/c4ee00038b}, abstractNote={A ferrite based oxygen carrier promoted with a mixed ionic–electronic conductor support is used in a hybrid solar-redox scheme. Based on both experiments and simulations, this scheme has the potential to co-produce liquid fuel and hydrogen from methane and solar energy at high efficiency with near zero life cycle CO2 emission.}, number={6}, journal={ENERGY & ENVIRONMENTAL SCIENCE}, author={He, Feng and Trainham, James and Parsons, Gregory N. and Newman, John S. and Li, Fanxing}, year={2014}, month={Jun}, pages={2033–2042} }
@article{mousa_oldham_parsons_2014, title={Atmospheric Pressure Atomic Layer Deposition of Al2O3 Using Trimethyl Aluminum and Ozone}, volume={30}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000334572100012&KeyUID=WOS:000334572100012}, DOI={10.1021/la500796r}, abstractNote={High throughput spatial atomic layer deposition (ALD) often uses higher reactor pressure than typical batch processes, but the specific effects of pressure on species transport and reaction rates are not fully understood. For aluminum oxide (Al2O3) ALD, water or ozone can be used as oxygen sources, but how reaction pressure influences deposition using ozone has not previously been reported. This work describes the effect of deposition pressure, between ∼2 and 760 Torr, on ALD Al2O3 using TMA and ozone. Similar to reports for pressure dependence during TMA/water ALD, surface reaction saturation studies show self-limiting growth at low and high pressure across a reasonable temperature range. Higher pressure tends to increase the growth per cycle, especially at lower gas velocities and temperatures. However, growth saturation at high pressure requires longer O3 dose times per cycle. Results are consistent with a model of ozone decomposition kinetics versus pressure and temperature. Quartz crystal microbalance (QCM) results confirm the trends in growth rate and indicate that the surface reaction mechanisms for Al2O3 growth using ozone are similar under low and high total pressure, including expected trends in the reaction mechanism at different temperatures.}, number={13}, journal={LANGMUIR}, author={Mousa, Moataz Bellah M. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2014}, month={Apr}, pages={3741–3748} }
@article{taylor_mcclure_shipkowski_thompson_hussain_garantziotis_parsons_bonner_2014, title={Atomic Layer Deposition Coating of Carbon Nanotubes with Aluminum Oxide Alters Pro-Fibrogenic Cytokine Expression by Human Mononuclear Phagocytes In Vitro and Reduces Lung Fibrosis in Mice In Vivo}, volume={9}, ISSN={["1932-6203"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000341774300028&KeyUID=WOS:000341774300028}, DOI={10.1371/journal.pone.0106870}, abstractNote={Background Multi-walled carbon nanotubes (MWCNTs) pose a possible human health risk for lung disease as a result of inhalation exposure. Mice exposed to MWCNTs develop pulmonary fibrosis. Lung macrophages engulf MWCNTs and produce pro-fibrogenic cytokines including interleukin (IL)-1β, IL-6, tumor necrosis factor (TNF)-α, and osteopontin (OPN). Atomic layer deposition (ALD) is a novel process used to enhance functional properties of MWCNTs, yet the consequence of ALD-modified MWCNTs on macrophage biology and fibrosis is unknown. Methods The purpose of this study was to determine whether ALD coating with aluminum oxide (Al2O3) would alter the fibrogenic response to MWCNTs and whether cytokine expression in human macrophage/monocytes exposed to MWCNTs in vitro would predict the severity of lung fibrosis in mice. Uncoated (U)-MWCNTs or ALD-coated (A)-MWCNTs were incubated with THP-1 macrophages or human peripheral blood mononuclear cells (PBMC) and cell supernatants assayed for cytokines by ELISA. C57BL6 mice were exposed to a single dose of A- or U-MWCNTs by oropharyngeal aspiration (4 mg/kg) followed by evaluation of histopathology, lung inflammatory cell counts, and cytokine levels at day 1 and 28 post-exposure. Results ALD coating of MWCNTs with Al2O3 enhanced IL-1β secretion by THP-1 and PBMC in vitro, yet reduced protein levels of IL-6, TNF-α, and OPN production by THP-1 cells. Moreover, Al2O3 nanoparticles, but not carbon black NPs, increased IL-1β but decreased OPN and IL-6 in THP-1 and PBMC. Mice exposed to U-MWCNT had increased levels of all four cytokines assayed and developed pulmonary fibrosis by 28 days, whereas ALD-coating significantly reduced fibrosis and cytokine levels at the mRNA or protein level. Conclusion These findings indicate that ALD thin film coating of MWCNTs with Al2O3 reduces fibrosis in mice and that in vitro phagocyte expression of IL-6, TNF-α, and OPN, but not IL-1β, predict MWCNT-induced fibrosis in the lungs of mice in vivo.}, number={9}, journal={PLOS ONE}, author={Taylor, Alexia J. and McClure, Christina D. and Shipkowski, Kelly A. and Thompson, Elizabeth A. and Hussain, Salik and Garantziotis, Stavros and Parsons, Gregory N. and Bonner, James C.}, year={2014}, month={Sep} }
@article{sweet_oldham_parsons_2014, title={Atomic Layer Deposition of Metal Oxide Patterns on Nonwoven Fiber Mats using Localized Physical Compression}, volume={6}, ISSN={["1944-8252"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000338184500045&KeyUID=WOS:000338184500045}, DOI={10.1021/am501582p}, abstractNote={Patterning is an essential part of many industrial processes from printing to semiconductor manufacturing. In this work, we demonstrate a new method to pattern and selectively coat nonwoven textiles by atomic layer deposition (ALD) using compressive mask patterning. A physical mask combined with mechanical compression allows lateral definition and fidelity of the ALD coating to be controlled. We produce features of several sizes on different nonwoven fiber materials and demonstrate the ability to limit diffusion effects to within <200 μm of the pattern edge. Lateral and vertical penetration of reactive growth species into nonwoven mats is investigated by plan-view and cross-sectional imaging. Vertical growth is also analyzed by imaging coating depth into fiber mat stacks. We develop a fully quantitative transport model that describes well the effect of fiber structure and mechanical compression on the extent of coating under the physical mask. This method could be implemented for high-volume patterning for applications including flexible electronics.}, number={12}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Sweet, William J., III and Oldham, Christopher J. and Parsons, Gregory N.}, year={2014}, month={Jun}, pages={9280–9289} }
@article{alibabaei_farnum_kalanyan_brennaman_losego_parsons_meyer_2014, title={Atomic Layer Deposition of TiO2 on Mesoporous nanolTO: Conductive Core-Shell Photoanodes for Dye-Sensitized Solar Cells}, volume={14}, ISSN={["1530-6992"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000337337100043&KeyUID=WOS:000337337100043}, DOI={10.1021/nl5006433}, abstractNote={Core-shell structures consisting of thin shells of conformal TiO2 deposited on high surface area, conductive Sn-doped In2O3 nanoparticle. Mesoscopic films were synthesized by atomic layer deposition and studied for application in dye-sensitized solar cells. Results obtained with the N719 dye show that short-circuit current densities, open-circuit voltages, and back electron transfer lifetimes all increased with increasing TiO2 shell thickness up to 1.8-2.4 nm and then decline as the thickness was increased further. At higher shell thicknesses, back electron transfer to -Ru(III) is increasingly competitive with transport to the nanoITO core resulting in decreased device efficiencies.}, number={6}, journal={NANO LETTERS}, author={Alibabaei, Leila and Farnum, Byron H. and Kalanyan, Berc and Brennaman, M. Kyle and Losego, Mark D. and Parsons, Gregory N. and Meyer, Thomas J.}, year={2014}, month={Jun}, pages={3255–3261} }
@article{walls_oldham_yaga_hoertz_guzan_parsons_ensor_2014, title={Coatings for electrospun nanofibers for use in harsh environments}, volume={247}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000348457604158&KeyUID=WOS:000348457604158}, journal={Abstracts of Papers of the American Chemical Society}, author={Walls, Howard J. and Oldham, Christopher J. and Yaga, Robert W. and Hoertz, Paul G. and Guzan, Kimberly A. and Parsons, Gregory N. and Ensor, David S.}, year={2014} }
@article{sweet_oldham_parsons_2015, title={Conductivity and touch-sensor application for atomic layer deposition ZnO and Al:ZnO on nylon nonwoven fiber mats}, volume={33}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000355735400017&KeyUID=WOS:000355735400017}, DOI={10.1116/1.4900718}, abstractNote={Flexible electronics and wearable technology represent a novel and growing market for next generation devices. In this work, the authors deposit conductive zinc oxide films by atomic layer deposition onto nylon-6 nonwoven fiber mats and spun-cast films, and quantify the impact that deposition temperature, coating thickness, and aluminum doping have on the conductivity of the coated substrates. The authors produce aluminum doped zinc oxide (AZO) coated fibers with conductivity of 230 S/cm, which is ∼6× more conductive than ZnO coated fibers. Furthermore, the authors demonstrate AZO coated fibers maintain 62% of their conductivity after being bent around a 3 mm radius cylinder. As an example application, the authors fabricate an “all-fiber” pressure sensor using AZO coated nylon-6 electrodes. The sensor signal scales exponentially under small applied force (<50 g/cm2), yielding a ∼106× current change under 200 g/cm2. This lightweight, flexible, and breathable touch/force sensor could function, for example, as an electronically active nonwoven for personal or engineered system analysis and diagnostics.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Sweet, William J., III and Oldham, Christopher J. and Parsons, Gregory N.}, year={2015}, month={Jan} }
@article{zhao_gong_nunn_lemaire_stevens_sidi_williams_oldham_walls_shepherd_et al._2015, title={Conformal and highly adsorptive metal-organic framework thin films via layer-by-layer growth on ALD-coated fiber mats}, volume={3}, ISSN={["2050-7496"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000346906100014&KeyUID=WOS:000346906100014}, DOI={10.1039/c4ta05501b}, abstractNote={Fiber@ALD@MOF structures fabricated via ALD and layer-by-layer MOF synthesis show good conformality and high adsorption capacity.}, number={4}, journal={JOURNAL OF MATERIALS CHEMISTRY A}, author={Zhao, Junjie and Gong, Bo and Nunn, William T. and Lemaire, Paul C. and Stevens, Eric C. and Sidi, Fahim I. and Williams, Philip S. and Oldham, Christopher J. and Walls, Howard J. and Shepherd, Sarah D. and et al.}, year={2015}, pages={1458–1464} }
@article{gorham_gaskins_parsons_losego_hopkins_2014, title={Density dependence of the room temperature thermal conductivity of atomic layer deposition-grown amorphous alumina (Al2O3)}, volume={104}, ISSN={["1077-3118"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000338515900083&KeyUID=WOS:000338515900083}, DOI={10.1063/1.4885415}, abstractNote={We report on the thermal conductivity of atomic layer deposition-grown amorphous alumina thin films as a function of atomic density. Using time domain thermoreflectance, we measure the thermal conductivity of the thin alumina films at room temperature. The thermal conductivities vary ∼35% for a nearly 15% change in atomic density and are substrate independent. No density dependence of the longitudinal sound speeds is observed with picosecond acoustics. The density dependence of the thermal conductivity agrees well with a minimum limit to thermal conductivity model that is modified with a differential effective-medium approximation.}, number={25}, journal={APPLIED PHYSICS LETTERS}, author={Gorham, Caroline S. and Gaskins, John T. and Parsons, Gregory N. and Losego, Mark D. and Hopkins, Patrick E.}, year={2014}, month={Jun} }
@article{mcclure_oldham_parsons_2015, title={Effect of Al2O3 ALD coating and vapor infusion on the bulk mechanical response of elastic and viscoelastic polymers}, volume={261}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000348255500055&KeyUID=WOS:000348255500055}, DOI={10.1016/j.surfcoat.2014.10.029}, abstractNote={Polymer films and fibers are often coated with thin films by techniques including sputtering, chemical vapor deposition (CVD) and atomic layer deposition (ALD), to add resilience, impede water transport and provide chemical functionalization. Vapor processing also allows chemical precursors to diffuse and react in the near surface and bulk regions of the polymer. In this work we investigate how low temperature ALD Al2O3 coatings formed at < 100 °C modify bulk mechanical properties of nylon 6, polypropylene, and Pellethane, a commercial polymer elastomer. Transmission electron microscopy shows evidence for subsurface reactant penetration for all these polymers. The ALD treatment tends to increase the Young's modulus during the first ~ 200 ALD cycles, especially for the nylon 6 and elastomer, consistent with the expected polymer/precursor reactivity. However, the ALD coating generally embrittles the polymers, causing them to rupture under smaller applied strain. The embrittlement, however, is minimal for the viscoelastic polymer, so that the improved modulus during ALD treatment could improve its overall mechanical strength and performance. Chemical mechanisms for the mechanical changes are discussed. Overall, the results suggest that further analysis of ALD processes could lead to new means to improve mechanical function of synthetic polymers.}, journal={Surface & Coatings Technology}, author={McClure, Christina D. and Oldham, Christopher J. and Parsons, Gregory}, year={2015}, pages={411–417} }
@article{lee_kim_parsons_2014, title={Free-Floating Synthetic Nanosheets by Atomic Layer Deposition}, volume={6}, ISSN={["1944-8252"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000339472100023&KeyUID=WOS:000339472100023}, DOI={10.1021/am502850p}, abstractNote={Two-dimensional (2D) nanosheets with distinctive properties are often fabricated by exfoliation, hydrothermal synthesis, or vapor-phase reaction. While these approaches are useful to generate nanosheets, we show that free-floating 2D metal oxide flakes with nanometer-scale thickness can also be formed by atomic layer deposition (ALD), where the ALD process allows the thickness and composition to be precisely tuned. In this work, we describe in detail the ALD nanosheet fabrication process and demonstrate how the choice of the sacrificial substrate affects the subsequent ALD processing and the resulting nanosheet thickness and surface structure. In addition, we introduce the fabrication of organic/inorganic bilayer nanosheets with unique potential applications.}, number={14}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Lee, Kyoungmi and Kim, Do Han and Parsons, Gregory N.}, year={2014}, month={Jul}, pages={10981–10985} }
@article{lee_losego_kim_parsons_2014, title={High performance photocatalytic metal oxide synthetic bi-component nanosheets formed by atomic layer deposition}, volume={1}, ISSN={["2051-6355"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000348204200005&KeyUID=WOS:000348204200005}, DOI={10.1039/c4mh00012a}, abstractNote={Synthetic nanosheets that are ultrathin variants of bulk materials have been acquired using atomic layer deposition (ALD) on dissolvable substrates with a control over layer dimension including single and bilayered “Janus nanosheet” structures. TiO2, ZnO, Al2O3 and TiO2/ZnO nanosheets function as dispersible photocatalysts in aqueous media showing 3× synergistic rate enhancement for bilayered nanosheets.}, number={4}, journal={MATERIALS HORIZONS}, author={Lee, Kyoungmi and Losego, Mark D. and Kim, Do Han and Parsons, Gregory N.}, year={2014}, month={Jul}, pages={419–423} }
@article{zhao_losego_lemaire_williams_gong_atanasov_blevins_oldham_walls_shepherd_et al._2014, title={Highly Adsorptive, MOF-Functionalized Nonwoven Fiber Mats for Hazardous Gas Capture Enabled by Atomic Layer Deposition}, volume={1}, ISSN={2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201400040}, DOI={10.1002/ADMI.201400040}, abstractNote={While metal‐organic frameworks (MOFs) show great potential for gas adsorption and storage, their powder form limits deployment opportunities. Integration of MOFs on polymeric fibrous scaffolds will enable new applications in gas adsorption, membrane separation, catalysis, and toxic gas sensing. Here, we demonstrate a new synthesis route for growing MOFs on fibrous materials that achieves high MOF loadings, large surface areas and high adsorptive capacities. We find that a nanoscale coating of Al2O3 formed by atomic layer deposition (ALD) on the surface of nonwoven fiber mats facilitates nucleation of MOFs on the fibers throughout the mat. Functionality of MOFs is fully maintained after integration, and MOF crystals are well attached to the fibers. Breakthrough tests for HKUST‐1 MOFs [Cu3(BTC)2] on ALD‐coated polypropylene fibers reveal NH3 dynamic loadings up to 5.93 ± 0.20 mol/kg(MOF+fiber). Most importantly, this synthetic approach is generally applicable to a wide range of polymer fibers (e.g., PP, PET, cotton) and MOFs (e.g., HKUST‐1, MOF‐74, and UiO‐66).}, number={4}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Zhao, Junjie and Losego, Mark D. and Lemaire, Paul C. and Williams, Philip S. and Gong, Bo and Atanasov, Sarah E. and Blevins, Trent M. and Oldham, Christopher J. and Walls, Howard J. and Shepherd, Sarah D. and et al.}, year={2014}, month={Mar}, pages={1400040} }
@article{atanasov_losego_gong_sachet_maria_williams_parsons_2014, title={Highly Conductive and Conformal Poly(3,4-ethylenedioxythiophene) (PEDOT) Thin Films via Oxidative Molecular Layer Deposition}, volume={26}, ISSN={["1520-5002"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000337199400019&KeyUID=WOS:000337199400019}, DOI={10.1021/cm500825b}, abstractNote={This work introduces oxidative molecular layer deposition (oMLD) as a chemical route to synthesize highly conductive and conformal poly(3,4-ethylenedioxythiophene) (PEDOT) thin films via sequential vapor exposures of molybdenum(V) chloride (MoCl5, oxidant) and ethylene dioxythiophene (EDOT, monomer) precursors. The growth temperature strongly affects PEDOT’s crystalline structure and electronic conductivity. Films deposited at ∼150 °C exhibit a highly textured crystalline structure, with {010} planes aligned parallel with the substrate. Electrical conductivity of these textured films is routinely above 1000 S cm–1, with the most conductive films exceeding 3000 S cm–1. At lower temperatures (∼100 °C) the films exhibit a random polycrystalline structure and display smaller conductivities. Compared with typical electrochemical, solution-based, and chemical vapor deposition techniques, oMLD PEDOT films achieve high conductivity without the need for additives or postdeposition treatments. Moreover, the sequent...}, number={11}, journal={CHEMISTRY OF MATERIALS}, author={Atanasov, Sarah E. and Losego, Mark D. and Gong, Bo and Sachet, Edward and Maria, Jon-Paul and Williams, Philip S. and Parsons, Gregory N.}, year={2014}, month={Jun}, pages={3471–3478} }
@article{atanasov_oldham_slusarski_taggart-scarff_sherman_senecal_filocamo_mcallister_wetzel_parsons_2014, title={Improved cut-resistance of Kevlar (R) using controlled interface reactions during atomic layer deposition of ultrathin (< 50 angstrom) inorganic coatings}, volume={2}, ISSN={["2050-7496"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000342763300022&KeyUID=WOS:000342763300022}, DOI={10.1039/c4ta03662j}, abstractNote={Conformal atomic layer deposition (ALD) of Al2O3 and TiO2 thin films on Kevlar®, poly(p-phenylene terephthalamide) (PPTA) fibers at 50 and 100 °C affects the fiber cut resistance. Systematic studies of ALD coatings between 10 to 400 A thick formed at 50 and 100 °C revealed excellent conformality, and trends in cutting performance depended on materials and process details. A 50 A/50 A TiO2/Al2O3 bilayer formed at 50 °C increased cut resistance of PPTA by 30% compared to untreated fiber materials. In situ infrared analysis shows that trimethylaluminum (TMA) Al2O3 precursor reacts sub-surface with PPTA and tends to degraded mechanical performance. The TiCl4 TiO2 precursor reacts to form a barrier that limits TMA/PPTA interactions, allowing a harder Al2O3 layer to form on top of TiO2. The thin ALD coatings do not substantially affect durability, flexibility, or weight of the PPTA, making ALD a potentially viable means to enhance the protective properties of Kevlar and other polymer fiber systems.}, number={41}, journal={JOURNAL OF MATERIALS CHEMISTRY A}, author={Atanasov, Sarah E. and Oldham, Christopher J. and Slusarski, Kyle A. and Taggart-Scarff, Joshua and Sherman, Shalli A. and Senecal, Kris J. and Filocamo, Shaun F. and McAllister, Quinn P. and Wetzel, Eric D. and Parsons, Gregory N.}, year={2014}, month={Nov}, pages={17371–17379} }
@article{zhao_losego_lemaire_williams_gong_atanasov_blevins_oldham_walls_shepherd_et al._2014, title={Metal-Organic Frameworks: Highly Adsorptive, MOF-Functionalized Nonwoven Fiber Mats for Hazardous Gas Capture Enabled by Atomic Layer Deposition (Adv. Mater. Interfaces 4/2014)}, volume={1}, ISSN={2196-7350}, url={http://dx.doi.org/10.1002/ADMI.201470023}, DOI={10.1002/ADMI.201470023}, abstractNote={A new method for integrating metal-organic frameworks (MOFs) on polymer fibers is developed based on an oxide nucleation layer via atomic layer deposition (ALD). As G. N. Parsons and co-workers report in article 1400040, ALD coating enables uniform MOF coverage on fibers, high MOF loading, and large BET surface area. NH3 breakthrough tests demonstrate high adsorption capacity of the MOF-fiber mats for toxic gas. The synthesis approach is also generally applicable to a wide range of polymer fibers and MOFs. This work represents a breakthrough in the deployment of MOFs for technical applications.}, number={4}, journal={Advanced Materials Interfaces}, publisher={Wiley}, author={Zhao, Junjie and Losego, Mark D. and Lemaire, Paul C. and Williams, Philip S. and Gong, Bo and Atanasov, Sarah E. and Blevins, Trent M. and Oldham, Christopher J. and Walls, Howard J. and Shepherd, Sarah D. and et al.}, year={2014}, month={Jul}, pages={n/a-n/a} }
@article{meyer_alibabaei_brennaman_norris_kalanyan_song_losego_concepcion_binstead_parsons_2014, title={Solar water splitting in a molecular photoelectrochemical cell}, volume={247}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000348457601193&KeyUID=WOS:000348457601193}, journal={Abstracts of Papers of the American Chemical Society}, author={Meyer, Thomas J. and Alibabaei, Leila and Brennaman, M. Kyle and Norris, Michael R. and Kalanyan, Berc and Song, Wenjing and Losego, Mark D. and Concepcion, Javier J. and Binstead, Robert A. and Parsons, Gregory N.}, year={2014} }
@article{kim_losego_hanson_alibabaei_lee_meyer_parsons_2014, title={Stabilizing chromophore binding on TiO2 for long-term stability of dye-sensitized solar cells using multicomponent atomic layer deposition}, volume={16}, ISSN={["1463-9084"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000334602900050&KeyUID=WOS:000334602900050}, DOI={10.1039/c4cp01130a}, abstractNote={Dye sensitized solar cells (DSSCs) are coated with subnanometer oxide coatings to prevent device degradation in ambient humidity and high temperatures.}, number={18}, journal={PHYSICAL CHEMISTRY CHEMICAL PHYSICS}, author={Kim, Do Han and Losego, Mark D. and Hanson, Kenneth and Alibabaei, Leila and Lee, Kyoungmi and Meyer, Thomas J. and Parsons, Gregory N.}, year={2014}, pages={8615–8622} }
@article{dandley_needham_williams_brozena_oldham_parsons_2014, title={Temperature-dependent reaction between trimethylaluminum and poly(methyl methacrylate) during sequential vapor infiltration: experimental and ab initio analysis}, volume={2}, ISSN={["2050-7534"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=CCC&KeyUT=CCC:000344330700011&KeyUID=CCC:000344330700011}, DOI={10.1039/c4tc01293c}, abstractNote={We propose a temperature dependent reaction scheme between trimethylaluminum and poly(methyl methacrylate) for enhanced control of vapor phase polymer modification.}, number={44}, journal={JOURNAL OF MATERIALS CHEMISTRY C}, author={Dandley, Erinn C. and Needham, Craig D. and Williams, Philip S. and Brozena, Alexandra H. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2014}, month={Nov}, pages={9416–9424} }
@article{song_vannucci_farnum_lapides_brennaman_kalanyan_alibabaei_concepcion_losego_parsons_et al._2014, title={Visible Light Driven Benzyl Alcohol Dehydrogenation in a Dye-Sensitized Photoelectrosynthesis Cell}, volume={136}, ISSN={["0002-7863"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000338980500035&KeyUID=WOS:000338980500035}, DOI={10.1021/ja505022f}, abstractNote={Light-driven dehydrogenation of benzyl alcohol (BnOH) to benzaldehyde and hydrogen has been shown to occur in a dye-sensitized photoelectrosynthesis cell (DSPEC). In the DSPEC, the photoanode consists of mesoporous films of TiO2 nanoparticles or of core/shell nanoparticles with tin-doped In2O3 nanoparticle (nanoITO) cores and thin layers of TiO2 deposited by atomic layer deposition (nanoITO/TiO2). Metal oxide surfaces were coderivatized with both a ruthenium polypyridyl chromophore in excess and an oxidation catalyst. Chromophore excitation and electron injection were followed by cross-surface electron-transfer activation of the catalyst to -Ru(IV)═O(2+), which then oxidizes benzyl alcohol to benzaldehyde. The injected electrons are transferred to a Pt electrode for H2 production. The nanoITO/TiO2 core/shell structure causes a decrease of up to 2 orders of magnitude in back electron-transfer rate compared to TiO2. At the optimized shell thickness, sustained absorbed photon to current efficiency of 3.7% was achieved for BnOH dehydrogenation, an enhancement of ~10 compared to TiO2.}, number={27}, journal={JOURNAL OF THE AMERICAN CHEMICAL SOCIETY}, author={Song, Wenjing and Vannucci, Aaron K. and Farnum, Byron H. and Lapides, Alexander M. and Brennaman, M. Kyle and Kalanyan, Berc and Alibabaei, Leila and Concepcion, Javier J. and Losego, Mark D. and Parsons, Gregory N. and et al.}, year={2014}, month={Jul}, pages={9773–9779} }
@article{kim_woodroof_lee_parsons_2013, title={Atomic Layer Deposition of High Performance Ultrathin TiO2 Blocking Layers for Dye-Sensitized Solar Cells}, volume={6}, ISSN={["1864-564X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000319828000011&KeyUID=WOS:000319828000011}, DOI={10.1002/cssc.201300067}, abstractNote={AbstractDye‐sensitized solar cells (DSSCs) often use a thin insulating or semiconducting layer (typically TiO2) between the transparent conductive oxide and the mesoporous TiO2 to block electron/hole recombination at the conducting oxide/electrolyte interface. The blocking layer (BL) is essential to maintain efficient charge generation under low light conditions, at which DSSCs perform well compared to common semiconductor‐based photovoltaic devices. In this work, we show that atomic layer deposition (ALD) can produce ultrathin (<10 nm) BLs that significantly impede charge recombination in functional DSSCs, leading to improved photocurrents, open‐circuit photovoltages, and fill factors; this results in an increase in the overall efficiency from ≈7 % to ≈8.4 % under AM 1.5 G illumination. The 5–10 nm ALD BLs are the thinnest optimized DSSC BLs reported to date. The BL retards the open‐circuit voltage decay and extends the electron lifetime from ≈0.2 s to more than 10 s at 0.3 V, confirming that the ALD films significantly impede photogenerated charge recombination. By preparing BLs through other deposition techniques, we directly demonstrate that ALD results in better performance, even with thinner films, which is ascribed to the lower pinhole density of ALD materials.}, number={6}, journal={CHEMSUSCHEM}, author={Kim, Do Han and Woodroof, Mariah and Lee, Kyoungmi and Parsons, Gregory N.}, year={2013}, month={Jun}, pages={1014–1020} }
@article{kim_woodroof_lee_parsons_2013, title={Atomic Layer Deposition of High Performance Ultrathin TiO2 Blocking Layers for Dye-Sensitized Solar Cells}, volume={6}, ISSN={1864-5631}, url={http://dx.doi.org/10.1002/CSSC.201300468}, DOI={10.1002/CSSC.201300468}, abstractNote={AbstractInvited for this month′s cover is the group of Gregory Parsons at North Carolina State University. The image shows one cycle of TiO2 atomic layer deposition (ALD), in which the sequential dosing and purging of TiCl4 and H2O forms ultrathin and conformal TiO2 films on rough FTO glass. Pinhole‐free ALD TiO2 forms a blocking layer to impede electron–hole recombination at the FTO/electrolyte interface in dye‐sensitized solar cells. The ALD process allows discrete tuning of the blocking‐layer thickness to maximize performance improvement. Read the full text of the article at 10.1002/cssc.201300067}, number={6}, journal={ChemSusChem}, publisher={Wiley}, author={Kim, Do Han and Woodroof, Mariah and Lee, Kyoungmi and Parsons, Gregory N.}, year={2013}, month={Jun}, pages={930–930} }
@article{sweet_jur_parsons_2013, title={Bi-layer Al2O3/ZnO atomic layer deposition for controllable conductive coatings on polypropylene nonwoven fiber mats}, volume={113}, ISSN={["0021-8979"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000319295200047&KeyUID=WOS:000319295200047}, DOI={10.1063/1.4804960}, abstractNote={Electrically conductive zinc oxide coatings are applied to polypropylene nonwoven fiber mats by atomic layer deposition (ALD) at 50–155 °C. A low temperature (50 °C) aluminum oxide ALD base layer on the polypropylene limits diffusion of diethyl zinc into the polypropylene, resulting in ZnO layers with properties similar to those on planar silicon. Effective conductivity of 63 S/cm is achieved for ZnO on Al2O3 coated polypropylene fibers, and the fibers remain conductive for months after coating. Without the Al2O3 precoating, the effective conductivity was much smaller, consistent with precursor diffusion into the polymer and sub-surface ZnO nucleation. Mechanical robustness tests showed that conductive samples bent around a 6 mm radius maintained up to 40% of the pre-bending conductivity. Linkages between electrical conductivity and mechanical performance will help inform materials choice for flexible and porous electronics including textile-based sensors and antennas.}, number={19}, journal={JOURNAL OF APPLIED PHYSICS}, author={Sweet, William J., III and Jur, Jesse S. and Parsons, Gregory N.}, year={2013}, month={May} }
@article{kim_woodroof_lee_parsons_2013, title={Cover Picture: Atomic Layer Deposition of High Performance Ultrathin TiO2Blocking Layers for Dye-Sensitized Solar Cells (ChemSusChem 6/2013)}, volume={6}, ISSN={1864-5631}, url={http://dx.doi.org/10.1002/CSSC.201390023}, DOI={10.1002/CSSC.201390023}, abstractNote={The Cover Image shows the sequential dosing and purging of TiCl4 and H2O in atomic layer deposition (ALD) through step-like pressure changes in an effort to saturate the surface of fluorine doped tin oxide (FTO) glass with each reactant. These ultra-thin and uniform ALD TiO2 films with thicknesses of only 5 nm form a blocking layer on the rough FTO surface to be used in dye-sensitized solar cells (see the report by Kim et al. on page 1014) as the yallow photogenerated electrons to move to the FTO side effectively, thus inhibiting the recombination with holes at the FTO/electrolyte interface.}, number={6}, journal={ChemSusChem}, publisher={Wiley}, author={Kim, Do Han and Woodroof, Mariah and Lee, Kyoungmi and Parsons, Gregory N.}, year={2013}, month={Jun}, pages={929–929} }
@article{vannucci_alibabaei_losego_concepcion_kalanyan_parsons_meyer_2013, title={Crossing the divide between homogeneous and heterogeneous catalysis in water oxidation}, volume={110}, ISSN={["0027-8424"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000328858800030&KeyUID=WOS:000328858800030}, DOI={10.1073/pnas.1319832110}, abstractNote={Significance
An atomic layer deposition (ALD) procedure is described for stabilizing surface binding of a water oxidation catalyst to the surfaces of nanostructured films of indium tin oxide. The catalyst is stabilized on the surface of electrodes by ALD of an overlayer of TiO
2
. Stabilization of surface binding allows use of basic solutions where a rate enhancement for water oxidation of ∼10
6
is observed compared with acidic conditions. There are important implications for stabilizing surface-bound molecular assemblies for applications in dye sensitized solar cells, electrocatalysis, and photoelectrocatalysis.
}, number={52}, journal={PROCEEDINGS OF THE NATIONAL ACADEMY OF SCIENCES OF THE UNITED STATES OF AMERICA}, author={Vannucci, Aaron K. and Alibabaei, Leila and Losego, Mark D. and Concepcion, Javier J. and Kalanyan, Berc and Parsons, Gregory N. and Meyer, Thomas J.}, year={2013}, month={Dec}, pages={20918–20922} }
@article{kalanyan_oldham_sweet_parsons_2013, title={Highly Conductive and Flexible Nylon-6 Nonwoven Fiber Mats Formed using Tungsten Atomic Layer Deposition}, volume={5}, ISSN={1944-8244 1944-8252}, url={http://dx.doi.org/10.1021/AM401095R}, DOI={10.1021/AM401095R}, abstractNote={Low-temperature vapor-phase tungsten atomic layer deposition (ALD) using WF6 and dilute silane (SiH4, 2% in Ar) can yield highly conductive coatings on nylon-6 microfiber mats, producing flexible and supple nonwovens with conductivity of ∼1000 S/cm. We find that an alumina nucleation layer, reactant exposure, and deposition temperature all influence the rate of W mass uptake on 3D fibers, and film growth rate is calibrated using high surface area anodic aluminum oxide. Transmission electron microscopy (TEM) reveals highly conformal tungsten coatings on nylon fibers with complex "winged" cross-section. Using reactant gas "hold" sequences during the ALD process, we conclude that reactant species can transport readily to reactive sites throughout the fiber mat, consistent with conformal uniform coverage observed by TEM. The conductivity of 1000 S/cm for the W-coated nylon is much larger than found in other conductive nonwovens. We also find that the nylon mats maintain 90% of their conductivity after being flexed around cylinders with radii as small as 0.3 cm. Metal ALD coatings on nonwovens make possible the solvent-free functionalization of textiles for electronic applications.}, number={11}, journal={ACS Applied Materials & Interfaces}, publisher={American Chemical Society (ACS)}, author={Kalanyan, Berç and Oldham, Christopher J. and Sweet, William J., III and Parsons, Gregory N.}, year={2013}, month={May}, pages={5253–5259} }
@misc{parsons_elam_george_haukka_jeon_kessels_leskela_poodt_ritala_rossnagel_2013, title={History of atomic layer deposition and its relationship with the American Vacuum Society}, volume={31}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000324388800018&KeyUID=WOS:000324388800018}, DOI={10.1116/1.4816548}, abstractNote={This article explores the history of atomic layer deposition(ALD) and its relationship with the American Vacuum Society (AVS). The authors describe the origin and history of ALD science in the 1960s and 1970s. They also report on how the science and technology of ALD progressed through the 1990s and 2000s and continues today. This article focuses on how ALD developed within the AVS and continues to evolve through interactions made possible by the AVS, in particular, the annual International AVS ALD Conference. This conference benefits students, academics, researchers, and industry practitioners alike who seek to understand the fundamentals of self-limiting, alternating binary surface reactions, and how they can be applied to form functional (and sometimes profitable) thin filmmaterials. The flexible structure of the AVS allowed the AVS to quickly organize the ALD community and create a primary conference home. Many new research areas have grown out of the original concepts of “Atomic Layer Epitaxy” and“Molecular Layering,” and some of them are described in this article. The people and research in the ALD field continue to evolve, and the AVS ALDConference is a primary example of how the AVS can help a field expand and flourish.}, number={5}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Parsons, Gregory N. and Elam, Jeffrey W. and George, Steven M. and Haukka, Suvi and Jeon, Hyeongtag and Kessels, W. M. M. and Leskela, Markku and Poodt, Paul and Ritala, Mikko and Rossnagel, Steven M.}, year={2013}, month={Sep} }
@article{mcclure_oldham_walls_parsons_2013, title={Large effect of titanium precursor on surface reactivity and mechanical strength of electrospun nanofibers coated with TiO2 by atomic layer deposition}, volume={31}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000327253900031&KeyUID=WOS:000327253900031}, DOI={10.1116/1.4817718}, abstractNote={Encapsulating and functionalizing polymer nanofibers can improve the polymers chemical resistance and surface reactivity, enabling new applications including biosensing, flexible electronics, gas filtration, and chemical separations. Polymer fiber functionalization typically involves energy intensive wet chemical treatments and/or plasma exposure. Recent results show low temperature atomic layer deposition (ALD) to be a viable means to coat nanofibers with uniform and conformal inorganic and hybrid organic–inorganic layers. For this article, the authors describe how the mechanical properties of nylon-6 nanofibers are affected by ALD coatings of TiO2 and other metal oxides. They find that the stress–strain behavior of nylon-6 nanofibers depends strongly on the specific precursor chemistry used in the coating process. For ALD TiO2 coatings, titanium tetrachloride tended to embrittle the fibers, whereas titanium isopropoxide had a more subtle effect. Physical characterization shows that the TiCl4 diffused into the nylon-6 and reacted subsurface, whereas the titanium isopropoxide tended to react on the surface producing a more abrupt organic/inorganic interface. Results show that precursor choice is an important factor when designing thin film coating processes on polymeric substrates.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={McClure, Christina D. and Oldham, Christopher J. and Walls, Howard J. and Parsons, Gregory N.}, year={2013} }
@article{kalanyan_losego_oldham_parsons_2013, title={Low-Temperature Atomic Layer Deposition of Tungsten using Tungsten Hexafluoride and Highly-diluted Silane in Argon}, volume={19}, ISSN={["0948-1907"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000320470300013&KeyUID=WOS:000320470300013}, DOI={10.1002/cvde.201307053}, abstractNote={AbstractInherent chemical hazards in atomic layer deposition (ALD) processes can be mitigated significantly by careful selection of precursor materials. This work describes the effect of silane (SiH4) exposure on tungsten ALD growth when the silane is heavily diluted (2 at.‐%) in argon. A wide ALD temperature window from 200 to ∼300°C is identified, exhibiting a growth rate of between 5 and 6 Å per ALD cycle using SiH4 and tungsten hexafluoride (WF6) exposures of ∼6 × 105 and ∼5 × 105 Langmuirs (L), respectively. For deposition at lower temperature (150°C), growth rates of ∼4.5 Å per cycle are obtained using a silane exposure of 30 s per cycle, where the partial pressure of silane at the inlet is controlled at 40 mTorr (corresponding to 1.2 × 106 L of silane). Compositional analysis by secondary ion mass spectroscopy (SIMS) and Auger electron spectroscopy (AES) show less than ∼5 at.‐% Si in the W films, with the smallest Si content in films deposited at 300°C. We also describe effects of hot‐wall reactor preconditioning on film growth. We conclude that the dilute silane co‐reactant offers an alternative to the common disilane, borosilane, or undiluted silane precursors, allowing well‐controlled W deposition at 150°C.}, number={4-6}, journal={CHEMICAL VAPOR DEPOSITION}, author={Kalanyan, Berc and Losego, Mark D. and Oldham, Christopher J. and Parsons, Gregory N.}, year={2013}, month={Jun}, pages={161–166} }
@misc{parsons_atanasov_dandley_devine_gong_jur_lee_oldham_peng_spagnola_et al._2013, title={Mechanisms and reactions during atomic layer deposition on polymers}, volume={257}, ISSN={["1873-3840"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000327915000012&KeyUID=WOS:000327915000012}, DOI={10.1016/j.ccr.2013.07.001}, abstractNote={There is significant growing interest in atomic layer deposition onto polymers for barrier coatings, nanoscale templates, surface modification layers and other applications. The ability to control the reaction between ALD precursors and polymers opens new opportunities in ALD materials processing. It is well recognized that ALD on many polymers involves subsurface precursor diffusion and reaction which are not encountered during ALD on solid surfaces. This article reviews recent insights into chemical reactions that proceed during ALD on polymers, with particular focus on the common Al2O3 reaction sequence using trimethyl aluminum (TMA) and water. We highlight the role of different polymer reactive groups in film growth, and how the balance between precursor diffusion and reaction can change as deposition proceeds. As a strong Lewis acid, TMA forms adducts with Lewis base sites within the polymer, and the reactions that proceed are determined by the neighboring bond structure. Moreover, the Lewis base sites can be saturated by TMA, producing a self-limiting half-reaction within a three-dimensional polymer, analogous to a self-limiting half-reaction commonly observed during ALD on a solid planar surface.}, number={23-24}, journal={COORDINATION CHEMISTRY REVIEWS}, author={Parsons, Gregory N. and Atanasov, Sarah E. and Dandley, Erinn C. and Devine, Christina K. and Gong, Bo and Jur, Jesse S. and Lee, Kyoungmi and Oldham, Christopher J. and Peng, Qing and Spagnola, Joseph C. and et al.}, year={2013}, month={Dec}, pages={3323–3331} }
@article{mcclure_devine_jiang_chu_cuomo_parsons_fedkiw_2013, title={Oxygen Electroreduction on Ti- and Fe-Containing Carbon Fibers}, volume={160}, ISSN={["1945-7111"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000324810000079&KeyUID=WOS:000324810000079}, DOI={10.1149/2.029308jes}, abstractNote={A mixture of iron (II) phthalocyanine and polyacrylonitrile (PAN) was electrospun into fibers and pyrolyzed to form Fe-containing carbon fibers (Fe-PAN). Subsequent atomic layer deposition (ALD) coated the fibers with TiOy deposits (TiOy-Fe-PAN). Scanning transmission electron microscopy equipped with energy dispersive spectroscopy (STEM-EDS) detected C, Fe, and Ti across the diameter of the fiber, and X-ray photoelectron spectroscopy (XPS) revealed Fe(III) and CNx species present. After the ALD process, XPS revealed TiOy species on the Fe-PAN fibers, and heat-treating the TiOy-Fe-PAN samples created TiNxOy and TiNx species. Heat-treating Fe-PAN and TiOy-Fe-PAN samples resulted in a slight decrease in the Fe wt.% but affected an increase in the oxygen reduction reaction (ORR) activity in 0.5M H2SO4 at room temperature compared to the samples not heat treated. Moreover, the presence of Ti species decreased the production of H2O2 and increased the mass activity of the ORR on fibers subjected to cyclic potential excursions relative to samples without Ti species.}, number={8}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={McClure, Joshua P. and Devine, Christina K. and Jiang, Rongzhong and Chu, Deryn and Cuomo, Jerome J. and Parsons, Gregory N. and Fedkiw, Peter S.}, year={2013}, pages={F769–F778} }
@article{petrochenko_scarel_hyde_parsons_skoog_zhang_goering_narayan_2013, title={Prevention of Ultraviolet (UV)-Induced Surface Damage and Cytotoxicity of Polyethersulfone Using Atomic Layer Deposition (ALD) Titanium Dioxide}, volume={65}, ISSN={1047-4838 1543-1851}, url={http://dx.doi.org/10.1007/S11837-013-0565-8}, DOI={10.1007/s11837-013-0565-8}, abstractNote={Nanostructured surfaces are finding use in several medical applications, including tissue scaffolds and wound dressings. These surfaces are frequently manufactured from biocompatible polymers that are susceptible to ultraviolet (UV) damage. Polyethersulfone (PES) is a biocompatible polymer that undergoes oxidation and degradation when exposed to ultraviolet (UV) light. A uniform TiO2 coating can protect PES during exposure to UV sources (e.g., germicidal lamps and sunlight). The goal of this study was to determine whether atomic layer deposition (ALD) can successfully be used to grow TiO2 onto PES, protect it from UV irradiation, and reduce macrophage in vitro cytotoxicity. TiO2 was ALD-coated onto PES at 21 nm thickness. Uncoated PES exposed to UV for 30 min visibly changed color, whereas TiO2-coated PES showed no color change, indicating limited degradation. Macrophages exposed to UV-treated PES for 48 h showed reduced cell viability (via MTT assay) to 18% of control. In contrast, the cell viability for UV-treated TiO2-coated PES was 90% of control. Non-UV treated PES showed no decrease in cell viability. The results indicate that ALD of TiO2 thin films is a useful technique to protect polymers from UV damage and to retain low cytotoxicity to macrophages and other types of cells that are involved in wound healing. TiO2- coated PES membranes also have potential use in direct methanol fuel cells and in wastewater treatment membranes.}, number={4}, journal={JOM}, publisher={Springer Science and Business Media LLC}, author={Petrochenko, Peter E. and Scarel, Giovanna and Hyde, G. Kevin and Parsons, Gregory N. and Skoog, Shelby A. and Zhang, Qin and Goering, Peter L. and Narayan, Roger J.}, year={2013}, month={Feb}, pages={550–556} }
@article{alibabaei_brennaman_norris_kalanyan_song_losego_concepcion_binstead_parsons_meyer_2013, title={Solar water splitting in a molecular photoelectrochemical cell}, volume={110}, ISSN={["0027-8424"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000328061700026&KeyUID=WOS:000328061700026}, DOI={10.1073/pnas.1319628110}, abstractNote={Significance
Solar water splitting into H
2
and O
2
with visible light has been achieved by a molecular assembly. The dye sensitized photoelectrosynthesis cell configuration combined with core–shell structures with a thin layer of TiO
2
on transparent, nanostructured transparent conducting oxides (TCO), with the outer TiO
2
shell formed by atomic layer deposition. In this configuration, excitation and injection occur rapidly and efficiently with the injected electrons collected by the nanostructured TCO on the nanosecond timescale where they are collected by the planar conductive electrode and transmitted to the cathode for H
2
production. This allows multiple oxidative equivalents to accumulate at a remote catalyst where water oxidation catalysis occurs.
}, number={50}, journal={PROCEEDINGS OF THE NATIONAL ACADEMY OF SCIENCES OF THE UNITED STATES OF AMERICA}, author={Alibabaei, Leila and Brennaman, M. Kyle and Norris, Michael R. and Kalanyan, Berc and Song, Wenjing and Losego, Mark D. and Concepcion, Javier J. and Binstead, Robert A. and Parsons, Gregory N. and Meyer, Thomas J.}, year={2013}, month={Dec}, pages={20008–20013} }
@article{loebl_oldham_devine_gong_atanasov_parsons_fedkiw_2013, title={Solid Electrolyte Interphase on Lithium-Ion Carbon Nanofiber Electrodes by Atomic and Molecular Layer Deposition}, volume={160}, ISSN={["1945-7111"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000326905000006&KeyUID=WOS:000326905000006}, DOI={10.1149/2.020311jes}, abstractNote={Carbon nanofibers were coated with Al2O3 by atomic layer deposition (ALD) or with an alumina-organic hybrid thin film layer by molecular layer deposition (MLD) to produce an artificial solid electrolyte interphase (SEI) prior to use as a lithium-ion battery electrode. The elemental composition of the materials was investigated using energy dispersive X-ray spectroscopy (EDX) and inductively coupled plasma mass spectrometry (ICP-MS). A coating of ten Al2O3 layers reduced the lithium lost to the SEI formation from 359 to 291 mAh/g (24%) during the first charge. These same cells possessed 370 mAh/g of stable reversible capacity when tested at low current density (25 mA/g), similar to uncoated material. At increased currents, Al2O3 films of either ten or twenty layers lowered the capacity retention when compared with uncoated materials. When compared to the ALD material, films deposited by MLD resulted in less improvement to reversible capacity and a greater loss of reversible capacity. These results indicate the use of ALD to create a new electrode surface and mitigate the Li losses to SEI formation may be a viable method of addressing the challenges associated with high-surface area electrode materials.}, number={11}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Loebl, Andrew J. and Oldham, Christopher J. and Devine, Christina K. and Gong, Bo and Atanasov, Sarah E. and Parsons, Gregory N. and Fedkiw, Peter S.}, year={2013}, pages={A1971–A1978} }
@article{peng_kalanyan_hoertz_miller_kim_hanson_alibabaei_liu_meyer_parsons_et al._2013, title={Solution-Processed, Antimony-Doped Tin Oxide Colloid Films Enable High-Performance TiO2 Photoanodes for Water Splitting}, volume={13}, ISSN={["1530-6992"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000317549300020&KeyUID=WOS:000317549300020}, DOI={10.1021/nl3045525}, abstractNote={Photoelectrochemical (PEC) water splitting and solar fuels hold great promise for harvesting solar energy. TiO2-based photoelectrodes for water splitting have been intensively investigated since 1972. However, solar-to-fuel conversion efficiencies of TiO2 photoelectrodes are still far lower than theoretical values. This is partially due to the dilemma of a short minority carrier diffusion length, and long optical penetration depth, as well as inefficient electron collection. We report here the synthesis of TiO2 PEC electrodes by coating solution-processed antimony-doped tin oxide nanoparticle films (nanoATO) on FTO glass with TiO2 through atomic layer deposition. The conductive, porous nanoATO film-supported TiO2 electrodes, yielded a highest photocurrent density of 0.58 mA/cm(2) under AM 1.5G simulated sunlight of 100 mW/cm(2). This is approximately 3× the maximum photocurrent density of planar TiO2 PEC electrodes on FTO glass. The enhancement is ascribed to the conductive interconnected porous nanoATO film, which decouples the dimensions for light absorption and charge carrier diffusion while maintaining efficient electron collection. Transient photocurrent measurements showed that nanoATO films reduce charge recombination by accelerating transport of photoelectrons through the less defined conductive porous nanoATO network. Owing to the large band gap, scalable solution processed porous nanoATO films are promising as a framework to replace other conductive scaffolds for PEC electrodes.}, number={4}, journal={NANO LETTERS}, author={Peng, Qing and Kalanyan, Berc and Hoertz, Paul G. and Miller, Andrew and Kim, Do Han and Hanson, Kenneth and Alibabaei, Leila and Liu, Jie and Meyer, Thomas J. and Parsons, Gregory N. and et al.}, year={2013}, month={Apr}, pages={1481–1488} }
@article{lucovsky_parsons_zeller_kim_2013, title={Spectroscopic Detection of Medium Range Order in Device Grade Hydrogenated Amorphous Silicon}, volume={52}, ISSN={["1347-4065"]}, DOI={10.7567/jjap.52.04cr10}, abstractNote={
This article addresses low defect densities in hydrogenated amorphous silicon, a-Si1-x
:H
x
with approximately 10 at. % bonded H, x∼0.1. Based low defect densities at mid-gap, ∼0.5 to 1×1016 cm-3, a-Si:H thin films have been integrated into photovoltaic (PV) devices and thin film transistors (TFT's). Amorphous Si (a-Si) thin films with no detectable bonded-H have been used as precursors for polycrystalline gate electrodes in microelectronic applications. PV and TFT alloys have been deposited by glow discharge (GD), remote plasma-enhanced chemical vapor deposition (RPECVD), and reactive magnetron sputtering (RMS) with different bonded-H content determined by deposition precursors and substrate temperatures. Two conditions are required for the lowest Si dangling bond densities: (i) a monohydride, Si–H, concentration of ∼10 at. % H, and (ii) deposition, and/or a post-deposition annealing at 240 to 300 °C.
}, number={4}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS}, author={Lucovsky, Gerry and Parsons, Greg and Zeller, Daniel and Kim, Jinwoo}, year={2013}, month={Apr} }
@article{lucovsky_parsons_zeller_wu_papas_whitten_lujan_street_2013, title={Spectroscopic Detection of Medium Range Order in Hydrogenated Amorphous Silicon, a-Si(H): Applications in Photovolatics, Thin Film Transistors and Si-based Microelectronics}, volume={44}, ISSN={1875-3892}, url={http://dx.doi.org/10.1016/J.PHPRO.2013.04.012}, DOI={10.1016/J.PHPRO.2013.04.012}, abstractNote={Hydrogenated amorphous silicon, a-Si1-xHx, with ~ at.10% or x ~0.1±0.02, is used in photovoltaics (PV), and thin film transistors (TFT's). Amorphous Si (a-Si) thin films, thought to be free of H, are used as precursors for polycrystalline gate electrodes in microelectronics. PV and TFT alloys has been deposited by the glow discharge method (GD), remote plasma-enhanced chemical vapor deposition (RPECVD, and reactive magnetron sputtering (RMS) with bonded-H determined by deposition precursors and substrate temperatures. Two conditions are required for low Si dangling bond densities ~0.5 to 1x1016 cm−3: (i) a bonded monohydride, Si-H, concentration of ~10 at. % H, and (ii) a deposition, and/or a post-deposition anneal at ~240 °C to 300 °C. These reduce strain by introducing medium range order (MRO) as nano-meter scale aperiodically-assembled clusters. Si L2,3 X-ray absorption spectroscopy (XAS) has been used to confirm MRO extending beyond short-range order (SRO) of continuous random networks (CRN) through observation of ligand-field split d-state features. These are associated with symmetry-adapted linear combinations (SALCs) of atomic states forming molecular orbital valence bands. XAS and photoelectron spectroscopy (PES) studies have confirmed that a-Si used for microelectronic applications also has MRO as well. This is associated with a H-transfer reaction from an Si-H bond of the cluster into a Si-H-Si bonding arrangement on near-neighbor sites. MRO has also identified by other spectroscopic techniques: spectroscopic ellipsomentry (SE), and ultra-violet or X-ray photoemission spectroscopy (UPS or XPS). The same H-atom motion induced by absorption of sun-light is responsible for the Staebler-Wronski Effect (SWE); the generation of Si-atom dangling bonds that degrade PV performance.}, journal={Physics Procedia}, publisher={Elsevier BV}, author={Lucovsky, G. and Parsons, G. and Zeller, D. and Wu, K. and Papas, B. and Whitten, J. and Lujan, R. and Street, R.A.}, year={2013}, pages={91–98} }
@article{hanson_losego_kalanyan_parsons_meyer_2013, title={Stabilizing Small Molecules on Metal Oxide Surfaces Using Atomic Layer Deposition}, volume={13}, ISSN={["1530-6992"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000326356300035&KeyUID=WOS:000326356300035}, DOI={10.1021/nl402416s}, abstractNote={Device lifetimes and commercial viability of dye-sensitized solar cells (DSSCs) and dye-sensitized photoelectrosynthesis cells (DSPECs) are dependent on the stability of the surface bound molecular chromophores and catalysts. Maintaining the integrity of the solution-metal oxide interface is especially challenging in DSPECs for water oxidation where it is necessary to perform high numbers of turnovers, under irradiation in an aqueous environment. In this study, we describe the atomic layer deposition (ALD) of TiO2 on nanocrystalline TiO2 prefunctionalized with the dye molecule [Ru(bpy)2(4,4'-(PO3H2)bpy)](2+) (RuP) as a strategy to stabilize surface bound molecules. The resulting films are over an order of magnitude more photostable than untreated films and the desorption rate constant exponentially decreases with increased thickness of ALD TiO2 overlayers. However, the injection yield for TiO2-RuP with ALD TiO2 also decreases with increasing overlayer thickness. The combination of decreased injection yield and 95% quenched emission suggests that the ALD TiO2 overlayer acts as a competitive electron acceptor from RuP*, effectively nonproductively quenching the excited state. The ALD TiO2 also increases back electron transfer rates, relative to the untreated film, but is independent of overlayer thickness. The results for TiO2-RuP with an ALD TiO2 overlayer are compared with similar films having ALD Al2O3 overlayers.}, number={10}, journal={NANO LETTERS}, author={Hanson, Kenneth and Losego, Mark D. and Kalanyan, Berc and Parsons, Gregory N. and Meyer, Thomas J.}, year={2013}, month={Oct}, pages={4802–4809} }
@article{aykut_parsons_pourdeyhimi_khan_2013, title={Synthesis of Mixed Ceramic MgxZn1–xO Nanofibers via Mg2+ Doping Using Sol–Gel Electrospinning}, volume={29}, ISSN={0743-7463 1520-5827}, url={http://dx.doi.org/10.1021/LA400281C}, DOI={10.1021/LA400281C}, abstractNote={We report on the synthesis of tuned energy band gap Mg(x)Zn(1-x)O nanofibers (NFs) with different Mg(2+) content via the sol-gel electrospinning (ES) technique wherein the addition of the doping material affects not only the morphologies of as-spun ZnAc/PVA and MgAc/ZnAc/PVA nanofibers but also the crystal microstructure and optical properties of calcined ZnO and Mg(x)Zn(1-x)O nanofibers. Following an appropriate aqueous solution preparation of magnesium acetate (MgAc) and zinc acetate (ZnAc) with poly(vinyl alcohol) (PVA), electrospinning is performed and then as-spun nanofibers are calcined in an air atmosphere at 600 °C for 3 h. As-spun and calcined nanofiber diameters and morphologies are evaluated with scanning (SEM) and transmission (TEM) electron microscopies, whereas crystalline microstructural interpretations of ZnO and Mg(x)Zn(1-x)O are conducted with wide-angle X-ray diffraction spectra (XRD). Surface chemical composition and elemental evaluation of calcined nanofibers are examined with X-ray photoelectron spectroscopy (XPS), and optical properties and crystal defect analyses of the calcined nanofibers are conducted with photoluminescence spectra (PL). We observe a sharp reduction in fiber diameter upon calcination as a result of the removal of organic species from the fibers and conversion of ceramic precursors into ceramic nanofibers, and the appearance of a range of fiber morphologies from "bead in a string" to "sesame seed" coverage depending on fiber composition. Because Zn(2+) and Mg(2+) have similar ionicity and atomic radii, some Zn(2+) atoms are replaced by Mg(2+) atoms in the crystals, leading to a change in the properties of crystal lattices. The band gap energy of the calcined fibers increases significantly with addition of Mg(2+) along with an increase in the ultraviolet (UV) photoluminescence emission of the fibers.}, number={12}, journal={Langmuir}, publisher={American Chemical Society (ACS)}, author={Aykut, Yakup and Parsons, Gregory N. and Pourdeyhimi, Behnam and Khan, Saad A.}, year={2013}, month={Mar}, pages={4159–4166} }
@article{arpin_losego_cloud_ning_mallek_sergeant_zhu_yu_kalanyan_parsons_et al._2013, title={Three-dimensional self-assembled photonic crystals with high temperature stability for thermal emission modification}, volume={4}, ISSN={["2041-1723"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000326472200019&KeyUID=WOS:000326472200019}, DOI={10.1038/ncomms3630}, abstractNote={Selective thermal emission in a useful range of energies from a material operating at high temperatures is required for effective solar thermophotovoltaic energy conversion. Three-dimensional metallic photonic crystals can exhibit spectral emissivity that is modified compared with the emissivity of unstructured metals, resulting in an emission spectrum useful for solar thermophotovoltaics. However, retention of the three-dimensional mesostructure at high temperatures remains a significant challenge. Here we utilize self-assembled templates to fabricate high-quality tungsten photonic crystals that demonstrate unprecedented thermal stability up to at least 1,400 °C and modified thermal emission at solar thermophotovoltaic operating temperatures. We also obtain comparable thermal and optical results using a photonic crystal comprising a previously unstudied material, hafnium diboride, suggesting that refractory metallic ceramic materials are viable candidates for photonic crystal-based solar thermophotovoltaic devices and should be more extensively studied.}, journal={NATURE COMMUNICATIONS}, author={Arpin, Kevin A. and Losego, Mark D. and Cloud, Andrew N. and Ning, Hailong and Mallek, Justin and Sergeant, Nicholas P. and Zhu, Linxiao and Yu, Zongfu and Kalanyan, Berc and Parsons, Gregory N. and et al.}, year={2013}, month={Oct} }
@article{park_parsons_2012, title={Atomic layer deposition of Ru onto organic monolayers: Shifting metal effective work function using monolayer structure}, volume={30}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800062&KeyUID=WOS:000298992800062}, DOI={10.1116/1.3671938}, abstractNote={Organic thin films are integrated with metal atomic layer deposition metallization to adjust and tune the electronic properties of metal/dielectric junctions. Specifically, 3-aminopropyltriethoxysilane (APTES) and undecenyltrichlorosilane (UDTS) self-assembled monolayers on hafnia-based dielectrics produce a shift in the effective work function of ruthenium metal deposited by atomic layer deposition at 325 °C onto the monolayer/oxide heterostructure. Based on infrared analysis, the molecular monolayer is relatively stable upon heating above 300 °C. The effective work function extracted from measurements of flat band voltage versus oxide thickness shift by +0.1 and −0.6 V when the metal is deposited on APTES and UDTS, respectively, consistent with expected organic interface dipoles on the dielectric surface. Results suggest various nucleation mechanisms for ALD growth on organic monolayers, and demonstrate that low temperature ALD is useful for metallization of organic modified surfaces for a range of applications.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Park, Kie Jin and Parsons, Gregory N.}, year={2012}, month={Jan} }
@article{gong_parsons_2012, title={Caprolactone Ring-Opening Molecular Layer Deposition of Organic-Aluminum Oxide Polymer Films}, volume={1}, ISSN={["2162-8769"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000319446800014&KeyUID=WOS:000319446800014}, DOI={10.1149/2.023204jss}, abstractNote={New hybrid organic-inorganic thin films are finding use in electronics, biomedical, chemical protection, and energy storage systems applications. In a unique vapor-source molecular layer deposition film formation reaction, we find that cyclic ɛ-caprolactone reacts with surface-adsorbed methyl-aluminum species to build a metal-organic hybrid coordination polymer thin film at substrate temperatures between 60 and 120°C. Infrared and X-ray photoelectron spectroscopy analysis confirms (–Al–O–(C8H16)–O–)n bonding, expected from the a Lewis acid catalyzed surface ring-opening reaction. In-situ IR analysis confirms the surface reaction sequence. The materials show good stability and maintain their physical structure in ambient. This surface acid-catalyzed vapor process could extend to other metal organics and vapor/surface ring opening reactions to yield new organic-inorganic film materials for use in gas diffusion barriers, separation membranes and chemical resistance coatings.}, number={4}, journal={ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY}, author={Gong, Bo and Parsons, Gregory N.}, year={2012}, pages={P210–P215} }
@article{gong_spagnola_arvidson_khan_parsons_2012, title={Directed inorganic modification of bi-component polymer fibers by selective vapor reaction and atomic layer deposition}, volume={53}, ISSN={["1873-2291"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000309377400009&KeyUID=WOS:000309377400009}, DOI={10.1016/j.polymer.2012.08.018}, abstractNote={Abstract Nanocomposite organic/inorganic materials with spatially-controlled composition can be formed using vapor-phase atomic layer deposition (ALD) on bi-component polymer fibers. The ALD process promotes selective precursor infusion into the inner core of a core/shell polymer fiber, yielding nanoparticles encapsulated within the core. Likewise, choosing alternate precursors or reaction conditions yield particles or films on the outer polymer shell. In-situ infrared spectroscopy and transmission electron microscopy show that infusion yields selective dispersion of aluminum oxide in different polymer regions, forming fine nanoparticle dispersions or films. Selective inclusion of metal oxide materials during atomic layer deposition on polymers can create unique organic/inorganic composite structures for many advanced uses.}, number={21}, journal={POLYMER}, author={Gong, Bo and Spagnola, Joseph C. and Arvidson, Sara A. and Khan, Saad A. and Parsons, Gregory N.}, year={2012}, month={Sep}, pages={4631–4636} }
@article{lee_jur_kim_parsons_2012, title={Mechanisms for hydrophilic/hydrophobic wetting transitions on cellulose cotton fibers coated using Al2O3 atomic layer deposition}, volume={30}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800063&KeyUID=WOS:000298992800063}, DOI={10.1116/1.3671942}, abstractNote={This report explores reactions that proceed during the first few cycles of inorganic film atomic layer deposition (ALD) on natural cellulose cotton fibers, and how surface reactions can explain the previously observed transitions in surface wetting upon ALD on cotton fibers. Atomic layer deposition of aluminum oxide and zinc oxide onto natural cotton cellulose produces a transition from hydrophilic to hydrophobic, then from hydrophobic back to hydrophilic, and we describe here the main factors that bring about. Interestingly, we show that air exposure and related adventitious carbon adsorption also affects the subsequent reactions and wetting properties obtained after subsequent ALD cycles. X-ray photoelectron spectroscopy and in situ Fourier transform infrared spectroscopy data indicate Al-(O-C-)3 bonding units form when trimethylaluminum interacts with surface –OH units during the first precursor doses, producing a hydrophobic finish on the cotton that remains for only a few ALD cycles. Also, field-emission scanning electron microscopy results show that some surface roughening may occur in the first few ALD cycles, and the roughening of the hydrophobic-finished surface can also promote an increase in measured hydrophobicity.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lee, Kyoungmi and Jur, Jesse S. and Kim, Do Han and Parsons, Gregory N.}, year={2012}, month={Jan} }
@article{gong_kim_parsons_2012, title={Mesoporous Metal Oxides by Vapor Infiltration and Atomic Layer Deposition on Ordered Surfactant Polymer Films}, volume={28}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000307479000029&KeyUID=WOS:000307479000029}, DOI={10.1021/la302027b}, abstractNote={Catalysis, chemical separations, and energy conversion devices often depend on well-defined mesoporous materials as supports or active component elements. Herein, we show that ordered assembled organic surfactant films can directly template porous inorganic solids with surface area exceeding 1000 m(2)/g by infusing the polymers with reactive inorganic vapors, followed by anneal. The specific surface area, pore size, chemical composition, and overall shape of the product material are tuned by choice of the polymer and precursor materials as well as the influsion and postinfusion treatment conditions. X-ray diffraction, infrared spectroscopy, and electron microscopy show that vapor infusion changes both the physical and chemical structure of the starting ordered polymer films, consistent with quantified trends in specific surface area and pore size distribution measured by nitrogen adsorption after film annealing. This method yields porous TiO(2) films, for example, that function as an anode layer in a dye-sensitized solar cell.}, number={32}, journal={LANGMUIR}, author={Gong, Bo and Kim, Do Han and Parsons, Gregory N.}, year={2012}, month={Aug}, pages={11915–11922} }
@article{jur_parsons_2012, title={Nanoscale ceramic surface modification of textiles by atomic layer deposition}, volume={91}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000307316900013&KeyUID=WOS:000307316900013}, number={6}, journal={American Ceramic Society Bulletin}, author={Jur, Jesse S. and Parsons, Gregory N.}, year={2012}, pages={24–27} }
@article{peng_efimenko_genzer_parsons_2012, title={Oligomer Orientation in Vapor-Molecular-Layer-Deposited Alkyl-Aromatic Polyamide Films}, volume={28}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000306441100019&KeyUID=WOS:000306441100019}, DOI={10.1021/la3017936}, abstractNote={The surface-limited molecular-layer deposition of alkyl-aromatic polyamide films using sequential doses of 1,4-butane diamine (BDA) and terephthaloyl dichloride (TDC) is characterized using in situ quartz crystal microbalance and ex situ spectroscopy analysis. For the first time, near-edge X-ray absorption fine structure (NEXAFS) spectroscopy is used to offer insight into molecular orientation in films deposited via molecular-layer deposition (MLD). The results show that the oligomer units are lying nearly parallel to the surface, which differs from the linear vertical growth mode often used to illustrate film growth.}, number={28}, journal={LANGMUIR}, author={Peng, Qing and Efimenko, Kirill and Genzer, Jan and Parsons, Gregory N.}, year={2012}, month={Jul}, pages={10464–10470} }
@article{gong_parsons_2012, title={Quantitative in situ infrared analysis of reactions between trimethylaluminum and polymers during Al2O3 atomic layer deposition}, volume={22}, ISSN={["1364-5501"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000306479600031&KeyUID=WOS:000306479600031}, DOI={10.1039/c2jm32343e}, abstractNote={The reactions of trimethylaluminum (TMA) toward substrates during the Al2O3 atomic layer deposition (ALD) on a variety of polymers were studied by in situ Fourier transform infrared spectroscopy (FTIR). The experiments demonstrate that TMA reacts with certain nucleophilic functional groups on the polymer surface during the first several ALD cycles. For some polymer substrates, TMA vapor penetrates into the polymer and reacts in the polymer bulk. In both cases, the initial reaction plays an important role in the nucleation and growth of Al2O3. For chemically inert polymers, such as polypropylene, nucleation of Al2O3 ALD is relative slow at the initial stage due to the lack of reactive groups on the substrate. However, polyester, polyamide and polyether are more reactive, and in situ FTIR spectra showed a larger extent of reaction with TMA, facilitating the nucleation of ALD film on these polymers. By comparing FTIR spectra, we quantitatively estimate the extent of TMA reaction towards different polymers, and confirmed the results using X-ray photoelectron spectroscopy and scanning electron microscopy. Results give insight into the importance of the polymer structure in determining the nature and extent of the reaction during ALD film processing on polymer substrates.}, number={31}, journal={JOURNAL OF MATERIALS CHEMISTRY}, author={Gong, Bo and Parsons, Gregory N.}, year={2012}, pages={15672–15682} }
@article{peng_parsons_dean_2012, title={RESOURCE-FOCUSED TOOLCHAIN FOR RAPID PROTOTYPING OF EMBEDDED SYSTEMS}, volume={21}, ISSN={["1793-6454"]}, DOI={10.1142/s0218126612400038}, abstractNote={ This paper introduces the RaPTEX toolchain and its use for rapid prototyping and evaluation of embedded communication systems. This toolchain is unique for several reasons. First, by using static code analysis techniques, it is able to predict both the typical case and bounds for resource usage, such as computational, memory (both static and dynamic), and energy requirements. Second, it provides a graphical user interface with configurable software building blocks which allows easy creation and customization of protocol stacks. Third, it targets low-cost, low-energy hardware, allowing the creation of low-cost systems. We demonstrate the RaPTEX toolchain by evaluating different design options for an experimental ultrasonic communication system for biotelemetry in extremely shallow waters. The power, size, mass, and cost constraints of this application make it critical to pack as much processing into the available resources as possible. The RaPTEX toolchain analyzes resource use, enabling the system to safely operate closer to the edge of the resource envelope. The toolchain also helps users with the rapid prototyping of communication protocols by providing users with quick feedback on resource requirements. We demonstrate the use and output of the toolchain. We compare the accuracy of its predictions against measurements of the real hardware. }, number={2}, journal={JOURNAL OF CIRCUITS SYSTEMS AND COMPUTERS}, author={Peng, Shaolin and Parsons, Gregory and Dean, Alexander G.}, year={2012}, month={Apr} }
@article{hanson_losego_kalanyan_ashford_parsons_meyer_2013, title={Stabilization of [Ru(bpy)(2)(4,4 '-(PO3H2)bpy)](2+) on Mesoporous TiO2 with Atomic Layer Deposition of Al2O3}, volume={25}, ISSN={["0897-4756"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000313303400002&KeyUID=WOS:000313303400002}, DOI={10.1021/cm303172w}, abstractNote={ADVERTISEMENT RETURN TO ISSUEPREVCommunicationNEXTStabilization of [Ru(bpy)2(4,4′-(PO3H2)bpy)]2+ on Mesoporous TiO2 with Atomic Layer Deposition of Al2O3Kenneth Hanson†, Mark D. Losego‡, Berç Kalanyan‡, Dennis L. Ashford†, Gregory N. Parsons*‡, and Thomas J. Meyer*†View Author Information† Department of Chemistry, University of North Carolina at Chapel Hill, Chapel Hill, North Carolina 27599, United States‡ Department of Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, North Carolina 27695, United States*E-mail: [email protected] (G.N.P.); [email protected] (T.J.M.).Cite this: Chem. Mater. 2013, 25, 1, 3–5Publication Date (Web):December 7, 2012Publication History Received1 October 2012Revised6 December 2012Published online18 December 2012Published inissue 8 January 2013https://pubs.acs.org/doi/10.1021/cm303172whttps://doi.org/10.1021/cm303172wrapid-communicationACS PublicationsCopyright © 2012 American Chemical SocietyRequest reuse permissionsArticle Views2705Altmetric-Citations89LEARN ABOUT THESE METRICSArticle Views are the COUNTER-compliant sum of full text article downloads since November 2008 (both PDF and HTML) across all institutions and individuals. These metrics are regularly updated to reflect usage leading up to the last few days.Citations are the number of other articles citing this article, calculated by Crossref and updated daily. Find more information about Crossref citation counts.The Altmetric Attention Score is a quantitative measure of the attention that a research article has received online. Clicking on the donut icon will load a page at altmetric.com with additional details about the score and the social media presence for the given article. Find more information on the Altmetric Attention Score and how the score is calculated. Share Add toView InAdd Full Text with ReferenceAdd Description ExportRISCitationCitation and abstractCitation and referencesMore Options Share onFacebookTwitterWechatLinked InRedditEmail Other access optionsGet e-AlertscloseSupporting Info (1)»Supporting Information Supporting Information SUBJECTS:Atomic layer deposition,Chromophores,Desorption,Kinetic parameters,Oxides Get e-Alerts}, number={1}, journal={CHEMISTRY OF MATERIALS}, author={Hanson, Kenneth and Losego, Mark D. and Kalanyan, Berc and Ashford, Dennis L. and Parsons, Gregory N. and Meyer, Thomas J.}, year={2013}, month={Jan}, pages={3–5} }
@article{kim_koo_jur_woodroof_kalanyan_lee_devine_parsons_2012, title={Stable anatase TiO2 coating on quartz fibers by atomic layer deposition for photoactive light-scattering in dye-sensitized solar cells}, volume={4}, ISSN={["2040-3372"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000306324000056&KeyUID=WOS:000306324000056}, DOI={10.1039/c2nr30939d}, abstractNote={Quartz fibers provide a unique high surface-area substrate suitable for conformal coating using atomic layer deposition (ALD), and are compatible with high temperature annealing. This paper shows that the quartz fiber composition stabilizes ALD TiO(2) in the anatase phase through TiO(2)-SiO(2) interface formation, even after annealing at 1050 °C. When integrated into a dye-sensitized solar cell, the TiO(2)-coated quartz fiber mat improves light scattering performance. Results also confirm that annealing at high temperature is necessary for better photoactivity of ALD TiO(2), which highlights the significance of quartz fibers as a substrate. The ALD TiO(2) coating on quartz fibers also boosts dye adsorption and photocurrent response, pushing the overall efficiency of the dye-cells from 6.5 to 7.4%. The mechanisms for improved cell performance are confirmed using wavelength-dependent incident photon to current efficiency and diffuse light scattering results. The combination of ALD and thermal processing on quartz fibers may enable other device structures for energy conversion and catalytic reaction applications.}, number={15}, journal={NANOSCALE}, author={Kim, Do Han and Koo, Hyung-Jun and Jur, Jesse S. and Woodroof, Mariah and Kalanyan, Berc and Lee, Kyoungmi and Devine, Christina K. and Parsons, Gregory N.}, year={2012}, pages={4731–4738} }
@article{akyildiz_padbury_parsons_jur_2012, title={Temperature and Exposure Dependence of Hybrid Organic-Inorganic Layer Formation by Sequential Vapor Infiltration into Polymer Fibers}, volume={28}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000310664300032&KeyUID=WOS:000310664300032}, DOI={10.1021/la302991c}, abstractNote={The characteristic processing behavior for growth of a conformal nanoscale hybrid organic-inorganic modification to polyamide 6 (PA6) by sequential vapor infiltration (SVI) is demonstrated. The SVI process is a materials growth technique by which exposure of organometallic vapors to a polymeric material promotes the formation of a hybrid organic-inorganic modification at the near surface region of the polymer. This work investigates the SVI exposure temperature and cycling times of sequential exposures of trimethylaluminum (TMA) on PA6 fiber mats. The result of TMA exposure is the preferential subsurface organic-inorganic growth by diffusion into the polymer and reaction with the carbonyl in PA6. Mass gain, infrared spectroscopy, and transmission electron microscopy analysis indicate enhanced materials growth and uniformity at lower processing temperatures. The inverse relationship between mass gain and exposure temperature is explained by the formation of a hybrid layer that prevents the diffusion of TMA into the polymer to react with the PA6 upon subsequent exposure cycles. As few as 10 SVI exposure cycles are observed to saturate the growth, yielding a modified thickness of ∼75 nm and mass increase of ∼14 wt %. Removal of the inherent PA6 moisture content reduces the mass gain by ∼4 wt % at low temperature exposures. The ability to understand the characteristic growth process is critical for the development of the hybrid materials fabrication and modification techniques.}, number={44}, journal={LANGMUIR}, author={Akyildiz, Halil I. and Padbury, Richard P. and Parsons, Gregory N. and Jur, Jesse S.}, year={2012}, month={Nov}, pages={15697–15704} }
@article{aykut_saquing_pourdeyhimi_parsons_khan_2012, title={Templating Quantum Dot to Phase-Transformed Electrospun TiO2 Nanofibers for Enhanced Photo-Excited Electron Injection}, volume={4}, ISSN={["1944-8252"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000307698600011&KeyUID=WOS:000307698600011}, DOI={10.1021/am300524a}, abstractNote={We report on the microstructural crystal phase transformation of electrospun TiO(2) nanofibers generated via sol-gel electrospinning technique, and the incorporation of as-synthesized CdSe quantum dots (QDs) to different phases of TiO(2) nanofibers (NFs) via bifunctional surface modification. The effect of different phases of TiO(2) on photo-excited electron injection from CdSe QDs to TiO(2) NFs, as measured by photoluminescence spectroscopy (PL) is also discussed. Nanofiber diameter and crystal structures are dramatically affected by different calcination temperatures due to removal of polymer carrier, conversion of ceramic precursor into ceramic nanofibers, and formation of different TiO(2) phases in the fibers. At a low calcination temperature of 400 (o)C only anatase TiO(2) nanofiber are obtained; with increasing calcination temperature (up to 500 (o)C) these anatase crystals became larger. Crystal transformation from the anatase to the rutile phase is observed above 500(o)C, with most of the crystals transforming into the rutile phase at 800(o)C. Bi-functional surface modification of calcined TiO(2) nanofibers with 3-mercaptopropionic acid (3-MPA) is used to incorporate as-synthesized CdSe QD nanoparticles on to TiO(2) nanofibers. Evidence of formation of CdSe/TiO(2) composite nanofibers is obtained from elemental analysis using Energy Dispersive X-ray spectroscopy (EDS) and TEM microscopy that reveal templated quantum dots on TiO(2) nanofibers. Photoluminescence emission intensities increase considerably with the addition of QDs to all TiO(2) nanofiber samples, with fibers containing small amount of rutile crystals with anatase crystals showing the most enhanced effect.}, number={8}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Aykut, Yakup and Saquing, Carl D. and Pourdeyhimi, Behnam and Parsons, Gregory N. and Khan, Saad A.}, year={2012}, month={Aug}, pages={3837–3845} }
@article{kalanyan_parsons_elam_londergan_vanderstraten_roozeboom_degendt_bent_delabie_2011, title={Atomic Layer Deposited Oxides for Passivation of Silicon Photoanodes for Solar Photoelectrochemical Cells}, volume={41}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000305937200031&KeyUID=WOS:000305937200031}, DOI={10.1149/1.3633679}, abstractNote={Although crystalline silicon is an industrially significant device substrate, its use in photoelectrochemical cells is complicated by the thin oxide film that forms at the electrode surface. We applied thin coatings of atomic layer deposited films on n- and p-type Si substrates to block the growth of SiO2 during solar light-driven water splitting reactions. Voltammetry accompanied by ellipsometric measurements show us that metal oxide coatings as thin as 1.6 nm are effective at stopping electrode oxidation during dark and photoelectrochemistry. We observed similar passivating behavior among TiO2, ZnO, and Al2O3 thin films. Titania and zinc oxide films enhanced photocurrent due to their absorption in the UV wavelengths. We also applied a common water oxidation catalyst, cobalt, to show the feasibility of catalyst integration on top of ALD passivating films.}, number={2}, journal={Atomic Layer Deposition Applications 7}, author={Kalanyan, B. and Parsons, G. N. and Elam, JW and Londergan, A and VanDerStraten, O and Roozeboom, F and DeGendt, S and Bent, SF and Delabie, A}, year={2011}, pages={285–292} }
@article{jur_parsons_2011, title={Atomic Layer Deposition of Al2O3 and ZnO at Atmospheric Pressure in a Flow Tube Reactor}, volume={3}, ISSN={["1944-8252"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000287639400029&KeyUID=WOS:000287639400029}, DOI={10.1021/am100940g}, abstractNote={Improving nanoscale thin film deposition techniques such as atomic layer deposition (ALD) to permit operation at ambient pressure is important for high-throughput roll-to-roll processing of emerging flexible substrates, including polymer sheets and textiles. We present and investigate a novel reactor design for inorganic materials growth by ALD at atmospheric pressure. The reactor uses a custom "pressure boost" approach for delivery of low vapor pressure ALD precursors that controls precursor dose independent of reactor pressure. Analysis of continuum gas flow in the reactor shows key relations among reactor pressure, inert gas flow rate, and species diffusion that define conditions needed to efficiently remove product and adsorbed reactive species from the substrate surface during the inert gas purge cycle. Experimental results, including in situ quartz crystal microbalance (QCM) characterization and film thickness measurements for deposition of ZnO and Al(2)O(3) are presented and analyzed as a function of pressure and gas flow rates at 100 °C. At atmospheric pressure and high gas flow, ZnO deposition can proceed at the same mass uptake and growth rate as observed during more typical low pressure ALD. However, under the same high pressure and flow conditions the mass uptake and growth rate for Al(2)O(3) is a factor of ∼1.5-2 larger than at low pressure. Under these conditions, Al(2)O(3) growth at atmospheric pressure in a "flow-through" geometry on complex high surface area textile materials is sufficiently uniform to yield functional uniform coatings.}, number={2}, journal={ACS APPLIED MATERIALS & INTERFACES}, author={Jur, Jesse S. and Parsons, Gregory N.}, year={2011}, month={Feb}, pages={299–308} }
@article{jur_sweet_oldham_parsons_2011, title={Atomic Layer Deposition of Conductive Coatings on Cotton, Paper, and Synthetic Fibers: Conductivity Analysis and Functional Chemical Sensing Using “All-Fiber” Capacitors}, volume={21}, ISSN={1616-301X}, url={http://dx.doi.org/10.1002/adfm.201001756}, DOI={10.1002/adfm.201001756}, abstractNote={AbstractConductive coatings on complex fibrous systems are attracting interest for new electronic and other functional systems. Obtaining a quantitative conductivity value for complex surface coatings is often difficult. This work describes a procedure to quantify the effective electrical conductivity of conductive coatings on non‐conductive fibrous networks. By applying a normal force orthogonal to the current and field direction, fiber/fiber contact is improved and consistent conductance values can be measured. Nylon fibers coated with an electroless silver plating shows effective conductivity up to 1950 S cm−1, and quartz fibers coated with tungsten by atomic layer deposition (ALD) show values up to ∼1150 S cm−1. Cotton fibers and paper coated with a range of ZnO film thicknesses by ALD show effective conductivity of up to 24 S cm−1 under applied normal force, and conductivity scaled as expected with film coating thickness. Furthermore, we use the conductive coatings to produce an “all‐fiber” metal–insulator–metal capacitor that functions as a liquid chemical sensor. The ability to reliably analyze the effective conductivity of coatings on complex fiber systems will be important to design and improve performance of similar devices and other electronic textiles structures.}, number={11}, journal={Advanced Functional Materials}, publisher={Wiley}, author={Jur, Jesse. S. and Sweet, William J., III and Oldham, Christopher J. and Parsons, Gregory N.}, year={2011}, month={Mar}, pages={1993–2002} }
@misc{peng_lewis_hoertz_glass_parsons_2012, title={Atomic layer deposition for electrochemical energy generation and storage systems}, volume={30}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800067&KeyUID=WOS:000298992800067}, DOI={10.1116/1.3672027}, abstractNote={Clean renewable energy sources (e.g., solar, wind, and hydro) offers the most promising solution to energy and environmental sustainability. On the other hand, owing to the spatial and temporal variations of renewable energy sources, and transportation and mobility needs, high density energy storage and efficient energy distribution to points of use is also critical. Moreover, it is challenging to scale up those processes in a cost-effective way. Electrochemical processes, including photoelectrochemical devices, batteries, fuel cells, super capacitors, and others, have shown promise for addressing many of the abovementioned challenges. Materials with designer properties, especially the interfacial properties, play critical role for the performance of those devices. Atomic layer deposition is capable of precise engineering material properties on atomic scale. In this review, we focus on the current state of knowledge of the applications, perspective and challenges of atomic layer deposition process on the electrochemical energy generation and storage devices and processes.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Peng, Qing and Lewis, Jay S. and Hoertz, Paul G. and Glass, Jeffrey T. and Parsons, Gregory N.}, year={2012}, month={Jan} }
@article{hyde_stewart_scarel_parsons_shih_shih_lin_su_monteiro-riviere_narayan_et al._2011, title={Atomic layer deposition of titanium dioxide on cellulose acetate for enhanced hemostasis}, volume={6}, ISSN={1860-6768}, url={http://dx.doi.org/10.1002/biot.201000342}, DOI={10.1002/biot.201000342}, abstractNote={AbstractTiO2 films may be used to alter the wettability and hemocompatibility of cellulose materials. In this study, pure and stoichiometric TiO2 films were grown using atomic layer deposition on both silicon and cellulose substrates. The films were grown with uniform thicknesses and with a growth rate in agreement with literature results. The TiO2 films were shown to profoundly alter the water contact angle values of cellulose in a manner dependent upon processing characteristics. Higher amounts of protein adsorption indicated by blurry areas on images generated by scanning electron microscopy were noted on TiO2‐coated cellulose acetate than on uncoated cellulose acetate. These results suggest that atomic layer deposition is an appropriate method for improving the biological properties of hemostatic agents and other blood‐contacting biomaterials.}, number={2}, journal={Biotechnology Journal}, publisher={Wiley}, author={Hyde, G. Kevin and Stewart, S. Michael and Scarel, Giovanna and Parsons, Gregory N. and Shih, Chun-Che and Shih, Chun-Ming and Lin, Shing-Jong and Su, Yea-Yang and Monteiro-Riviere, Nancy A. and Narayan, Roger J. and et al.}, year={2011}, month={Feb}, pages={213–223} }
@article{wang_krommenhoek_bradford_gong_tracy_parsons_luo_zhu_2011, title={Coating Alumina on Catalytic Iron Oxide Nanoparticles for Synthesizing Vertically Aligned Carbon Nanotube Arrays}, volume={3}, ISSN={1944-8244 1944-8252}, url={http://dx.doi.org/10.1021/am201082m}, DOI={10.1021/am201082m}, abstractNote={To synthesize long and uniform vertically aligned carbon nanotube (VACNT) arrays, it is essential to use catalytic nanoparticles (NPs) with monodisperse sizes and to avoid NP agglomeration at the growth temperature. In this work, VACNT arrays were grown on chemically synthesized Fe(3)O(4) NPs of diameter 6 nm by chemical vapor deposition. Coating the NPs with a thin layer of Al(2)O(3) prior to CNT growth preserves the monodisperse sizes, resulting in uniform, thick and dense VACNT arrays. Comparison with uncoated NPs shows that the Al(2)O(3) coating effectively prevents the catalyst NPs from sintering and coalescing, resulting in improved control over VACNT growth.}, number={11}, journal={ACS Applied Materials & Interfaces}, publisher={American Chemical Society (ACS)}, author={Wang, Xin and Krommenhoek, Peter J. and Bradford, Philip D. and Gong, Bo and Tracy, Joseph B. and Parsons, Gregory N. and Luo, Tzy-Jiun M. and Zhu, Yuntian T.}, year={2011}, month={Oct}, pages={4180–4184} }
@article{gong_peng_parsons_2011, title={Conformal Organic - Inorganic Hybrid Network Polymer Thin Films by Molecular Layer Deposition using Trimethylaluminum and Glycidol}, volume={115}, ISSN={["1520-6106"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000290427100026&KeyUID=WOS:000290427100026}, DOI={10.1021/jp201186k}, abstractNote={Growing interest in nanoscale organic-inorganic hybrid network polymer materials is driving exploration of new bulk and thin film synthesis reaction mechanisms. Molecular layer deposition (MLD) is a vapor-phase deposition process, based on atomic layer deposition (ALD) which proceeds by exposing a surface to an alternating sequence of two or more reactant species, where each surface half-reaction goes to completion before the next reactant exposure. This work describes film growth using trimethyl aluminum and heterobifunctional glycidol at moderate temperatures (90-150 °C), producing a relatively stable organic-inorganic network polymer of the form (-Al-O-(C(4)H(8))-O-)(n). Film growth rate and in situ reaction analysis indicate that film growth does not initially follow a steady-state rate, but increases rapidly during early film growth. The mechanism is consistent with subsurface species transport and trapping, previously documented during MLD and ALD on polymers. A water exposure step after the TMA produces a more linear growth rate, likely by blocking TMA subsurface diffusion. Uniform and conformal films are formed on complex nonplanar substrates. Upon postdeposition annealing, films transform into microporous metal oxides with ∼5 Å pore size and surface area as high as ∼327 m(2)/g, and the resulting structures duplicate the shape of the original substrate. These hybrid films and porous materials could find uses in several research fields including gas separations and diffusion barriers, biomedical scaffolds, high surface area coatings, and others.}, number={19}, journal={JOURNAL OF PHYSICAL CHEMISTRY B}, author={Gong, Bo and Peng, Qing and Parsons, Gregory N.}, year={2011}, month={May}, pages={5930–5938} }
@article{gong,_peng,_parsons_2011, title={Correction to “Conformal Organic-Inorganic Hybrid Network Polymer Thin Films by Molecular Layer Deposition using Trimethylaluminum and Glycidol”}, volume={115}, ISSN={1520-6106 1520-5207}, url={http://dx.doi.org/10.1021/jp205915n}, DOI={10.1021/jp205915n}, abstractNote={ADVERTISEMENT RETURN TO ISSUEPREVAddition/CorrectionORIGINAL ARTICLEThis notice is a correctionCorrection to "Conformal Organic-Inorganic Hybrid Network Polymer Thin Films by Molecular Layer Deposition using Trimethylaluminum and Glycidol"Bo Gong,, Qing Peng,, and Gregory N. Parsons*Cite this: J. Phys. Chem. B 2011, 115, 37, 11028Publication Date (Web):August 26, 2011Publication History Published online26 August 2011Published inissue 22 September 2011https://pubs.acs.org/doi/10.1021/jp205915nhttps://doi.org/10.1021/jp205915ncorrectionACS PublicationsCopyright © 2011 American Chemical Society. This publication is available under these Terms of Use. Request reuse permissions This publication is free to access through this site. Learn MoreArticle Views542Altmetric-Citations4LEARN ABOUT THESE METRICSArticle Views are the COUNTER-compliant sum of full text article downloads since November 2008 (both PDF and HTML) across all institutions and individuals. These metrics are regularly updated to reflect usage leading up to the last few days.Citations are the number of other articles citing this article, calculated by Crossref and updated daily. Find more information about Crossref citation counts.The Altmetric Attention Score is a quantitative measure of the attention that a research article has received online. Clicking on the donut icon will load a page at altmetric.com with additional details about the score and the social media presence for the given article. Find more information on the Altmetric Attention Score and how the score is calculated. Share Add toView InAdd Full Text with ReferenceAdd Description ExportRISCitationCitation and abstractCitation and referencesMore Options Share onFacebookTwitterWechatLinked InRedditEmail PDF (603 KB) Get e-Alertsclose Get e-Alerts}, number={37}, journal={The Journal of Physical Chemistry B}, publisher={American Chemical Society (ACS)}, author={Gong,, Bo and Peng,, Qing and Parsons, Gregory N.}, year={2011}, month={Sep}, pages={11028–11028} }
@article{mousa_oldham_jur_parsons_2012, title={Effect of temperature and gas velocity on growth per cycle during Al2O3 and ZnO atomic layer deposition at atmospheric pressure}, volume={30}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800055&KeyUID=WOS:000298992800055}, DOI={10.1116/1.3670961}, abstractNote={The growth per cycle as a function of temperature during atomic layer deposition (ALD) of Al2O3 and ZnO at atmospheric pressure follows very closely the trend measured at typical (∼2 Torr) process pressure. However, the overall growth rate is found to be nearly 2 × larger at higher pressure and the magnitude of the growth increase can be adjusted by controlling the gas velocity near the growth surface. The growth increase at high pressure is approximately independent of process temperature at T 150 °C, especially for Al2O3. The relatively high growth/cycle measured at 760 Torr and T < 150 °C suggests that excess physisorbed water remains on the alumina or zinc oxide surface after the water purge step. Increasing the gas velocity in the growth zone reduces the growth rate, consistent with more efficient removal of excess water. To better understand the observed trends, we present analytical expressions for the boundary layer...}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Mousa, Moataz Bellah M. and Oldham, Christopher J. and Jur, Jesse S. and Parsons, Gregory N.}, year={2012}, month={Jan} }
@article{jur_sweet_oldham_parsons_2011, title={Electronic Textiles: Atomic Layer Deposition of Conductive Coatings on Cotton, Paper, and Synthetic Fibers: Conductivity Analysis and Functional Chemical Sensing Using “All-Fiber” Capacitors (Adv. Funct. Mater. 11/2011)}, volume={21}, ISSN={1616-301X}, url={http://dx.doi.org/10.1002/adfm.201190035}, DOI={10.1002/adfm.201190035}, abstractNote={Electronic functionalization of complex fiber systems is important for new applications in energy systems and electronic textiles. The cover image shows a natural fibrous material with and without conductive coating. Atomic layer deposition (ALD) of conductive coatings on natural and synthetic fibrous materials show high conductivity, and the values scale as expected with film thickness and bulk-material conductance. On page 1993, Jesse S. Jur and co-workers present an all-fiber-based capacitor formed by ALD on textiles that shows good sensing performance in several liquid media.}, number={11}, journal={Advanced Functional Materials}, publisher={Wiley}, author={Jur, Jesse. S. and Sweet, William J., III and Oldham, Christopher J. and Parsons, Gregory N.}, year={2011}, month={May}, pages={1948–1948} }
@article{oldham_gong_spagnola_jur_senecal_godfrey_parsons_2011, title={Encapsulation and Chemical Resistance of Electrospun Nylon Nanofibers Coated Using Integrated Atomic and Molecular Layer Deposition}, volume={158}, ISSN={["1945-7111"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000293175600039&KeyUID=WOS:000293175600039}, DOI={10.1149/1.3609046}, abstractNote={Nanofibers formed by electrospinning provide very large surface areas which can enhance material performance in filtration and product separation. In this work, we explore atomic layer deposition (ALD) as a means to coat and protect electrospun nylon-6 nanofibers. Exposing nylon to trimethyl aluminum (TMA) during ALD of aluminum oxide results in significant fiber degradation. Protecting fibers with a bilayer of ALD ZnO and an organic-inorganic hybrid polymer by molecular layer deposition maintains the shape of the original nanofibers, but chemical modification is still detected. These coating processes may help enable nanofibers with stable physical properties under chemical exposure.}, number={9}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Oldham, Christopher J. and Gong, Bo and Spagnola, Joseph C. and Jur, Jesse S. and Senecal, Kris J. and Godfrey, Thomas A. and Parsons, Gregory N.}, year={2011}, pages={D549–D556} }
@article{devine_oldham_jur_gong_parsons_2011, title={Fiber Containment for Improved Laboratory Handling and Uniform Nanocoating of Milligram Quantities of Carbon Nanotubes by Atomic Layer Deposition}, volume={27}, ISSN={0743-7463 1520-5827}, url={http://dx.doi.org/10.1021/la202677u}, DOI={10.1021/la202677u}, abstractNote={The presence of nanostructured materials in the workplace is bringing attention to the importance of safe practices for nanomaterial handling. We explored novel fiber containment methods to improve the handling of carbon nanotube (CNT) powders in the laboratory while simultaneously allowing highly uniform and controlled atomic layer deposition (ALD) coatings on the nanotubes, down to less than 4 nm on some CNT materials. Moreover, the procedure yields uniform coatings on milligram quantities of nanotubes using a conventional viscous flow reactor system, circumventing the need for specialized fluidized bed or rotary ALD reactors for laboratory-scale studies. We explored both fiber bundles and fiber baskets as possible containment methods and conclude that the baskets are more suitable for coating studies. An extended precursor and reactant dose and soak periods allowed the gases to diffuse through the fiber containment, and the ALD coating thickness scaled linearly with the number of ALD cycles. The extended dose period produced thicker coatings compared to typical doses on CNT controls not encased in the fibers, suggesting some effects due to the extended reactant dose. Film growth was compared on a range of single-walled NTs, double-walled NTs, and acid-functionalized multiwalled NTs, and we found that ultrathin coatings were most readily controlled on the multiwalled NTs.}, number={23}, journal={Langmuir}, publisher={American Chemical Society (ACS)}, author={Devine, Christina K. and Oldham, Christopher J. and Jur, Jesse S. and Gong, Bo and Parsons, Gregory N.}, year={2011}, month={Dec}, pages={14497–14507} }
@article{gong_peng_na_parsons_2011, title={Highly active photocatalytic ZnO nanocrystalline rods supported on polymer fiber mats: Synthesis using atomic layer deposition and hydrothermal crystal growth}, volume={407}, ISSN={["0926-860X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000297234400025&KeyUID=WOS:000297234400025}, DOI={10.1016/j.apcata.2011.08.041}, abstractNote={Photocatalytically active zinc oxide nanocrystalline rods are grown on high surface area polybutylene terephthalate (PBT) polymer fiber mats using low temperature solution based methods, where the oxide crystal nucleation is facilitated using conformal thin films formed by low temperature vapor phase atomic layer deposition (ALD). Scanning electron microscopy (SEM) confirms that highly oriented single crystal ZnO nanorod crystals are directed normal to the starting fiber substrate surface, and the extent of nanocrystal growth within the fiber mat bulk is affected by the overall thickness of the ZnO nucleation layer. The high surface area of the nanocrystal-coated fibers is confirmed by nitrogen adsorption/desorption analysis. An organic dye in aqueous solution in contact with the coated fiber degraded rapidly upon ultraviolet light exposure, allowing quantitative analysis of the photocatalytic properties of fibers with and without nanorod crystals present. The dye degrades nearly twice as fast in contact with the ZnO nanorod crystals compared with samples with only an ALD ZnO layer. Additionally, the catalyst on the polymer fiber mat could be reused without need for a particle recovery step. This combination of ALD and hydrothermal processes could produce high surface area finishes on complex polymer substrates for reusable photocatalytic and other surface-reaction applications.}, number={1-2}, journal={APPLIED CATALYSIS A-GENERAL}, author={Gong, Bo and Peng, Qing and Na, Jeong-Seok and Parsons, Gregory N.}, year={2011}, month={Nov}, pages={211–216} }
@article{gong_spagnola_parsons_2012, title={Hydrophilic mechanical buffer layers and stable hydrophilic finishes on polydimethylsiloxane using combined sequential vapor infiltration and atomic/molecular layer deposition}, volume={30}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800056&KeyUID=WOS:000298992800056}, DOI={10.1116/1.3670963}, abstractNote={Polydimethylsiloxane (PDMS) is an important polymer material widely used for microfluidic device fabrication, microcontact lithography, and surface morphology molding. However, the hydrophobic surface limits its functionality. Low temperature atomic layer deposition (ALD) has recently been used to functionalize a wide range of polymer surfaces. In previous research, the authors were able to produce a uniform hydrophilic alumina film coating on PDMS using trimethyl aluminum/water ALD. However, the surface recovered its hydrophobicity after 24–48 h in ambient air or under inert gas storage, which was ascribed to organic species outdiffusion through the ALD layer. This paper reports a stable hydrophilic ALD surface modification on the PDMS. The PDMS substrate was first sequentially exposed to trimethylaluminum and water vapor, allowing the vapors to infiltrate and react to create a mechanical and diffusion buffer layer in the PDMS surface region. This buffer layer helps to nucleate a cohesive hydrophilic ALD or molecular layer deposition (MLD) coating and also helps prevent organic outdiffusion that typically leads to PDMS hydrophobic recovery. The results provide valuable insight into reliable surface energy and mechanical modification of PDMS using vapor-phase precursor/polymer reactions. In addition, molecular layer deposition of “alucone” coatings was also investigated to modify the PDMS surface. The experiment result showed the vapor infiltration and MLD coatings produce a viable and stable hydrophilic surface on PDMS.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Gong, Bo and Spagnola, Joseph C. and Parsons, Gregory N.}, year={2012}, month={Jan} }
@article{peng_gong_parsons_2011, title={Making inert polypropylene fibers chemically responsive by combining atomic layer deposition and vapor phase chemical grafting}, volume={22}, ISSN={["1361-6528"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000288209700007&KeyUID=WOS:000288209700007}, DOI={10.1088/0957-4484/22/15/155601}, abstractNote={Uniformly grafting organic reactive molecular species, e.g. –NH2, onto substrates that have three-dimensional complex structures and are chemically inert is challenging. The vapor phase chemical grafting of organic molecules enabled by low temperature metal oxide atomic layer deposition (ALD) is presented as a general and promising solution to functionalize inert matrices with complex morphology, such as nonwoven polypropylene mats, through the controllable self-limited molecular assembly mechanism in a combined ALD and vapor phase chemical grafting process.}, number={15}, journal={NANOTECHNOLOGY}, author={Peng, Qing and Gong, Bo and Parsons, Gregory N.}, year={2011}, month={Apr} }
@article{johnston-peck_scarel_wang_parsons_tracy_2011, title={Order-Disorder Phase Conversion of FePt Nanoparticles for Ultrahigh-Density Magnetic Recording}, volume={17}, ISSN={1431-9276 1435-8115}, url={http://dx.doi.org/10.1017/S1431927611010026}, DOI={10.1017/S1431927611010026}, abstractNote={Extended abstract of a paper presented at Microscopy and Microanalysis 2011 in Nashville, Tennessee, USA, August 7–August 11, 2011.}, number={S2}, journal={Microscopy and Microanalysis}, publisher={Cambridge University Press (CUP)}, author={Johnston-Peck, A and Scarel, G and Wang, J and Parsons, G and Tracy, J}, year={2011}, month={Jul}, pages={1830–1831} }
@article{hanson_oldham_parsons_2012, title={Paper deacidification and UV protection using ZnO atomic layer deposition}, volume={30}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800017&KeyUID=WOS:000298992800017}, DOI={10.1116/1.3656251}, abstractNote={Acid degradation of cellulosic paper in archival books, periodicals, and historic documents is a serious and widespread problem. Using acidic page samples from ∼40 year old books, we demonstrate that atomic layer deposition (ALD) ZnO can adjust and controllably neutralize the paper acid content. The paper samples were collected and analyzed in accordance with recognized Technical Association of the Pulp and Paper Industry (TAPPI) test standards. The average pH of the starting paper was 3.7 ± 0.4 and 4.4 ± 0.1 as determined using the TAPPI surface probe and cold water extraction methods, respectively. After 50 ALD ZnO cycles, the same tests on the coated paper produced an average pH of 7.39 ± 0.08 and 7.3 ± 0.4, respectively. Scanning electron microscopy confirmed that the cellulose structure remained intact during ALD. Additional tests of recently printed newspaper samples coated with ALD ZnO also show that ALD can effectively prevent paper discoloration and embrittlement caused by UV sunlight photoexposure. While there are many known methods for paper preservation, including others using diethyl zinc, the control afforded by ALD provides attractive advantages over other known approaches for preservation of archival paper and other natural fibrous materials.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Hanson, C. A. and Oldham, C. J. and Parsons, G. N.}, year={2012}, month={Jan} }
@article{parsons_george_knez_2011, title={Progress and future directions for atomic layer deposition and ALD-based chemistry}, volume={36}, ISSN={["1938-1425"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000299230300015&KeyUID=WOS:000299230300015}, DOI={10.1557/mrs.2011.238}, abstractNote={Abstract}, number={11}, journal={MRS BULLETIN}, author={Parsons, Gregory N. and George, Steven M. and Knez, Mato}, year={2011}, month={Nov}, pages={865–871} }
@article{gong_peng_jur_devine_lee_parsons_2011, title={Sequential Vapor Infiltration of Metal Oxides into Sacrificial Polyester Fibers: Shape Replication and Controlled Porosity of Microporous/Mesoporous Oxide Monoliths}, volume={23}, ISSN={0897-4756 1520-5002}, url={http://dx.doi.org/10.1021/cm200694w}, DOI={10.1021/cm200694w}, abstractNote={The preparation of microporous and mesoporous metal oxide materials continues to attract considerable attention, because of their possible use in chemical separations, catalyst support, chemical sensors, optical and electronic devices, energy storage, and solar cells. While many methods are known for the synthesis of porous materials, researchers continue to seek new methods to control pore size distribution and macroscale morphology. In this work, we show that sequential vapor infiltration (SVI) can yield shape-controlled micro/mesoporous materials with tunable pore size, using polyesters as a sacrificial template. The reaction proceeds by exposing polymer fiber templates to a controlled sequence of metal organic and co-reactant vapor exposure cycles in an atomic layer deposition (ALD) reactor. The precursors infuse sequentially and thereby distribute and react uniformly within the polymer, to yield an organic–inorganic hybrid material that retains the physical dimensions of the original polymer template...}, number={15}, journal={Chemistry of Materials}, publisher={American Chemical Society (ACS)}, author={Gong, Bo and Peng, Qing and Jur, Jesse S. and Devine, Christina K. and Lee, Kyoungmi and Parsons, Gregory N.}, year={2011}, month={Aug}, pages={3476–3485} }
@inproceedings{kim_lee_kim_parsons_park_ihm_cheong_2011, title={SiNx Charge Trap Nonvolatile Memory Based on ZnO Thin Film Transistor Prepared by Atomic Layer Deposition}, url={http://dx.doi.org/10.1063/1.3666301}, DOI={10.1063/1.3666301}, abstractNote={We fabricated a nonvolatile thin film transistor (TFT) memory with SiNx charge traps using a ZnO thin film as an active channel layer. The thin film of ZnO was deposited by using atomic layer deposition process at TALD = 125 °C. The ZnO films were investigated by X‐ray diffraction and X‐ray photoemission measurements. The electrical measurements of the nonvolatile TFT memory showed a field‐effect mobility of 2.95 cm2 V−1 s−1, a threshold voltage of −7.24 V, a subthreshold swing of 1.7 V/dec, and an on/off ratio of 3.4×105. From the C‐V measurement, the memory window of 2 V was obtained.}, publisher={AIP}, author={Kim, E. and Lee, K. and Kim, D. and Parsons, G. N. and Park, K. and Ihm, Jisoon and Cheong, Hyeonsik}, year={2011} }
@article{kim_kim_kim_lee_parsons_park_2011, title={SiNx charge-trap nonvolatile memory based on ZnO thin-film transistors}, volume={99}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000295034400043&KeyUID=WOS:000295034400043}, DOI={10.1063/1.3640221}, abstractNote={We have demonstrated the fabrication and application of a nonvolatile thin-film transistor memory with SiNx charge traps using a ZnO thin film as the active channel layer. The thin film of ZnO was deposited using an atomic-layer deposition process and was subsequently post-annealed in an O2-filled atmosphere. X-ray diffraction and x-ray photoemission results indicated that the O2 annealing process was effective for the crystallinity and stoichiometry of the ZnO films. A saturation field-effect mobility of 6 cm2/Vs, on/off ratio of ≈105, subthreshold slope of 0.7 V/decade, and threshold voltage of −5 V were obtained in transistor operations. Threshold-voltage shift measurements performed for various stress voltages and time durations revealed that these devices had a large memory window of 5.4 V and a long retention time (>10 years) in nonvolatile memory operations.}, number={11}, journal={APPLIED PHYSICS LETTERS}, author={Kim, Eunkyeom and Kim, Youngill and Kim, Do Han and Lee, Kyoungmi and Parsons, Gregory N. and Park, Kyoungwan}, year={2011}, month={Sep} }
@article{johnston-peck_scarel_wang_parsons_tracy_2011, title={Sinter-free phase conversion and scanning transmission electron microscopy of FePt nanoparticle monolayers}, volume={3}, ISSN={["2040-3372"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000295618200024&KeyUID=WOS:000295618200024}, DOI={10.1039/c1nr10567a}, abstractNote={Thermally robust monolayers of 4-6 nm diameter FePt nanoparticles (NPs) were fabricated by combining chemical synthesis and atomic layer deposition. Spin-cast monolayers of FePt NPs were coated with thin, 11 nm-thick layers of amorphous Al(2)O(3), followed by annealing to convert the FePt NPs from an alloy (A1) into intermetallic FePt (L1(0)) and FePt(3) (L1(2)) phases. The Al(2)O(3) layer serves as a barrier that prevents sintering between NPs during annealing at temperatures up to 730 °C. Electron and X-ray diffraction in conjunction with high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) show that as-synthesized A1 FePt NPs convert into L1(0) and L1(2) phase NPs through annealing. HAADF-STEM measurements of individual NPs reveal imperfect ordering and show that the NP composition determines which intermetallic phase is obtained. Mixed-phase NPs with L1(0) cores and FePt(3) L1(2) shells were also observed, as well as a smaller number of unconverted A1 NPs. These results highlight the need for improved control over the compositional uniformity of FePt NPs for their use in bit-patterned magnetic recording.}, number={10}, journal={NANOSCALE}, author={Johnston-Peck, Aaron C. and Scarel, Giovanna and Wang, Junwei and Parsons, Gregory N. and Tracy, Joseph B.}, year={2011}, pages={4142–4149} }
@misc{poodt_cameron_dickey_george_kuznetsov_parsons_roozeboom_sundaram_vermeer_2012, title={Spatial atomic layer deposition: A route towards further industrialization of atomic layer deposition}, volume={30}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800066&KeyUID=WOS:000298992800066}, DOI={10.1116/1.3670745}, abstractNote={Atomic layer deposition (ALD) is a technique capable of producing ultrathin conformal films with atomic level control over thickness. A major drawback of ALD is its low deposition rate, making ALD less attractive for applications that require high throughput processing. An approach to overcome this drawback is spatial ALD, i.e., an ALD mode where the half-reactions are separated spatially instead of through the use of purge steps. This allows for high deposition rate and high throughput ALD without compromising the typical ALD assets. This paper gives a perspective of past and current developments in spatial ALD. The technology is discussed and the main players are identified. Furthermore, this overview highlights current as well as new applications for spatial ALD, with a focus on photovoltaics and flexible electronics.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Poodt, Paul and Cameron, David C. and Dickey, Eric and George, Steven M. and Kuznetsov, Vladimir and Parsons, Gregory N. and Roozeboom, Fred and Sundaram, Ganesh and Vermeer, Ad}, year={2012}, month={Jan} }
@article{lucovsky_parsons_zeller_wu_papas_whitten_lujan_street_2011, title={Spectroscopic detection of medium range order in device quality hydrogenated amorphous silicon, a-Si(H)}, volume={13}, number={11-12}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G. and Parsons, G. and Zeller, D. and Wu, K. and Papas, B. and Whitten, J. and Lujan, R. and Street, R. A.}, year={2011}, pages={1586–1589} }
@article{vasquez_vincent-johnson_hughes_augustine_lee_parsons_scarel_2012, title={Wetting properties induced in nano-composite POSS-MA polymer films by atomic layer deposited oxides}, volume={30}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000298992800005&KeyUID=WOS:000298992800005}, DOI={10.1116/1.3639134}, abstractNote={Due to their unique properties, nano-composite polyhedral oligomeric silsequioxane (POSS) copolymer films are attractive for various applications. Here we show that their natural hydrophobic character can become hydrophilic when the films are modified by a thin oxide layer, up to 8 nm thick, prepared using atomic layer deposition. A proper choice of the deposition temperature and thickness of the oxide layer are required to achieve this goal. Unlike other polymeric systems, a marked transition to a hydrophilic state is observed with oxide layers deposited at increasing temperatures up to the glass transition temperature (∼110 °C) of the POSS copolymer film. The hydrophilic state is monitored through the water contact angle of the POSS film. Infrared absorbance spectra indicate that, in hydrophilic samples, the integral of peaks corresponding to surface Al–O (hydrophilic) is significantly larger than that of peaks linked to hydrophobic species.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Vasquez, Kyle A. and Vincent-Johnson, Anita J. and Hughes, W. Christopher and Augustine, Brian H. and Lee, Kyoungmi and Parsons, Gregory N. and Scarel, Giovanna}, year={2012}, month={Jan} }
@article{scarel_na_parsons_2010, title={Angular behavior of the Berreman effect investigated in uniform Al2O3 layers formed by atomic layer deposition}, volume={22}, ISSN={["1361-648X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000276097500013&KeyUID=WOS:000276097500013}, DOI={10.1088/0953-8984/22/15/155401}, abstractNote={Experimental transmission absorbance infrared spectra of γ-Al2O3 showing evidence of the angular dependence of the peaks of surface modes appearing next to the longitudinal optical phonon frequency ωLO (the Berreman effect) are collected from heat-treated thin oxide films deposited with thickness uniformity on Si(100) using atomic layer deposition. The peak area of the most intense surface longitudinal optical mode is plotted versus the infrared beam incidence angle θ0. The experimental points closely follow the sin4(θ0) function in a broad thickness range. The best match occurs at a critical thickness, where a linear relationship exists between the surface longitudinal optical mode intensity and film thickness. Simulations suggest that below the critical thickness the sin4(θ0) behavior can be explained by refraction phenomena at the air/thin film and thin film/substrate interfaces. Above the critical thickness, the experimentally obtained result is derived from field boundary conditions at the air/thin film interface. The sin4(θ0) functional trend breaks down far above the critical thickness. This picture indicates that infrared radiation has a limited penetration depth into the oxide film, similarly to electromagnetic waves in conductors. Consequently, surface longitudinal optical modes are viewed as bulk phonons excited down to the penetration depth of the infrared beam. Comparison with simulated data suggests that the infrared radiation absorptance of surface longitudinal optical modes tends to approach the sin2(θ0) trend. Reflection phenomena are considered to be the origin of the deviation from the sin4(θ0) trend related to refraction.}, number={15}, journal={JOURNAL OF PHYSICS-CONDENSED MATTER}, author={Scarel, Giovanna and Na, Jeong-Seok and Parsons, Gregory N.}, year={2010}, month={Apr} }
@article{oldham_gong_spagnola_jur_senecal_godfrey_parsons_elam_degendt_vanderstraten_et al._2010, title={Atomic Layer Deposition on Polymers: Applications to Physical Encapsulation of Electrospun Nylon Nanofibers}, volume={33}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000313617900030&KeyUID=WOS:000313617900030}, number={2}, journal={Atomic Layer Deposition Applications 6}, author={Oldham, Christopher J. and Gong, Bo and Spagnola, Joseph C. and Jur, Jesse S. and Senecal, Kris J. and Godfrey, Thomas A. and Parsons, Gregory N. and Elam, JW and DeGendt, S and VanDerStraten, O and et al.}, year={2010}, pages={279–290} }
@misc{parsons_2010, title={Nano-structured photovoltaic solar cell and related methods}, volume={7,655,860}, number={2010 Feb. 2}, author={Parsons, G.}, year={2010} }
@article{scarel_na_gong_parsons_2010, title={Phonon Response in the Infrared Region to Thickness of Oxide Films Formed by Atomic Layer Deposition}, volume={64}, ISSN={["1943-3530"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000273548500018&KeyUID=WOS:000273548500018}, DOI={10.1366/000370210790571954}, abstractNote={ Experimental transmission infrared spectra of γ-Al2O3 and ZnO films are collected from heat-treated thin oxide films deposited with uniform thickness on Si(100) using atomic layer deposition. We show that the Berreman thickness, i.e. the upper limit for a linear relationship between oxide film thickness and phonon absorbance in the infrared region in transmission configuration, is a concept that applies to both transverse and longitudinal optical phonons. We find that for aluminum oxide films the Berreman thickness is 125 nm, and we estimate that it is around approximately 435 nm for zinc oxide films. Combining experiment and simulation, we also show that the Berreman thickness is the maximum distance allowed between interfaces for Snell's law and Fresnel's formulas to determine the optical properties in the infrared region and in transmission configuration for a layer system including an oxide film. Below the Berreman thickness, a Taylor series expansion of the absorbance coefficient determines the linear relationship between phonon absorbance and oxide film thickness t, so that as t → 0 absorption Ap ∝ 4πωph t, where ωph indicates optical phonon frequency. Above the Berreman thickness, field boundary conditions at the air/oxide film interface effectively contribute with a single interface in explaining optical phonon absorbance. Preliminary infrared spectra in reflection configuration for γ-Al2O3/Si(100) are discussed, and the obtained data support the conclusions reported for the transmission configuration. }, number={1}, journal={APPLIED SPECTROSCOPY}, author={Scarel, Giovanna and Na, Jeong-Seok and Gong, Bo and Parsons, Gregory N.}, year={2010}, month={Jan}, pages={120–126} }
@article{spagnola_gong_arvidson_jur_khan_parsons_2010, title={Surface and sub-surface reactions during low temperature aluminium oxide atomic layer deposition on fiber-forming polymers}, volume={20}, ISSN={["0959-9428"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000277563800026&KeyUID=WOS:000277563800026}, DOI={10.1039/c0jm00355g}, abstractNote={Fundamental reaction processes between vapor-phase chemical precursors and high molecular weight polymers are important for polymer coating, encapsulation and surface modification. Using trimethylaluminium and water in an atomic layer deposition (ALD) exposure sequence, reactions between vapor-phase trimethylaluminium and common polymers with different substituents are quantified using in situ infrared transmission analysis. Exposing polypropylene to trimethylaluminium results in reactant uptake with minimal precursor/polymer reaction, but the precursor/water ALD sequence leads to subsurface alumina nucleation. A similar treatment to polyvinyl alcohol and polyamide-6 results in rapid precursor diffusion and significant reaction observed by IR, and the extent of reaction is consistent with results from in situ quartz crystal microgravimetry and transmission electron microscopy. Reacting trimethylaluminium with polyamide-6 leads to methyl group insertion into the amide carbonyl group and interaction with the hydrogen-bonded amine units. Multiple ALD reaction cycles produce film coatings on all polymers studied, but the coating structure depends strongly on the starting polymer composition. For the weakly interacting polypropylene, cross-sectional transmission electron microscopy demonstrates enhanced sub-surface growth at 90 °C as compared to that at 60 °C, while images of coated polyamide-6 fibers showed that growth is not strongly temperature dependent in that range. Micrograph images of polyamide-6 samples exposed to extended TMA doses revealed significant modification of the fiber surface region, demonstrating that the precursor could diffuse and react to depths in excess of 100 nm into the surface of the polymer at 90 °C. Improved understanding of specific precursor/polymer reaction pathways can be important to optimize the performance of conformal inorganic thin film coatings on polymers.}, number={20}, journal={JOURNAL OF MATERIALS CHEMISTRY}, author={Spagnola, Joseph C. and Gong, Bo and Arvidson, Sara A. and Jur, Jesse S. and Khan, Saad A. and Parsons, Gregory N.}, year={2010}, pages={4213–4222} }
@article{spagnola_gong_parsons_2010, title={Surface texture and wetting stability of polydimethylsiloxane coated with aluminum oxide at low temperature by atomic layer deposition}, volume={28}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000283745300011&KeyUID=WOS:000283745300011}, DOI={10.1116/1.3488604}, abstractNote={The performance of polydimethylsiloxane (PDMS) elastomer in many of its applications, including surface molding and replication, microcontact lithography, and microfluidic device structures, is strongly influenced by its surface properties. While PDMS polymer is simple to use, the surface hydrophobicity and adsorptive properties of PDMS limit its functionality, for example, in aqueous microfluidic applications. Atomic layer deposition (ALD) is a low temperature vapor phase thin film coating technique that has recently been used to modify and encapsulate a wide range of polymer materials. In this work, the authors investigate reactions that proceed when PDMS polymer films are treated with cyclic gas exposure sequences commonly used to perform aluminum oxide ALD. Film growth is characterized by electron and infrared spectroscopy and by contact angle goniometry for a range of surface treatments and postdeposition air exposure times. The authors find that trimethylaluminum/water ALD can produce a smooth and uniform film coating on PDMS at 25–50 °C and that cracks become visible under optical microscopy for films >100 Å thick. At moderate temperatures, unique buckled surface textures appear in the deposited coating, which are ascribed to substrate thermal expansion effects. Aluminum oxide coatings on native PDMS, as well as on PDMS pretreated with UV ozone or oxygen plasma, show a hydrophilic surface condition immediately after deposition, but the surface becomes more hydrophobic after 24–48 h in ambient air or under inert gas storage, likely due to organic species out-diffusion through defects in the ALD coating. Infrared analysis is also used to identify consistent mechanisms associated with subsurface ALD nucleation on cast PDMS layers. These results provide valuable insight into a means to reliably modify the surface of PDMS using vapor phase precursor/surface reactions.}, number={6}, journal={Journal of Vacuum Science & Technology a}, author={Spagnola, Joseph C. and Gong, Bo and Parsons, Gregory}, year={2010}, pages={1330–1337} }
@article{jur_spagnola_lee_gong_peng_parsons_2010, title={Temperature-Dependent Subsurface Growth during Atomic Layer Deposition on Polypropylene and Cellulose Fibers}, volume={26}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000277928100078&KeyUID=WOS:000277928100078}, DOI={10.1021/la904604z}, abstractNote={Nucleation and subsequent growth of aluminum oxide by atomic layer deposition (ALD) on polypropylene fiber substrates is strongly dependent on processing temperature and polymer backbone structure. Deposition on cellulose cotton, which contains ample hydroxyl sites for ALD nucleation and growth on the polymer backbone, readily produces a uniform and conformal coating. However, similar ALD processing on polypropylene, which contains no readily available active sites for growth initiation, results in a graded and intermixed polymer/inorganic interface layer. The structure of the polymer/inorganic layer depends strongly on the process temperature, where lower temperature (60 degrees C) produced a more abrupt transition. Cross-sectional transmission electron microscopy images of polypropylene fibers coated at higher temperature (90 degrees C) show that non-coalesced particles form in the near-surface region of the polymer, and the particles grow in size and coalesce into a film as the number of ALD cycles increases. Quartz crystal microbalance analysis on polypropylene films confirms enhanced mass uptake at higher processing temperatures, and X-ray photoelectron spectroscopy data also confirm heterogeneous mixing between the aluminum oxide and the polypropylene during deposition at higher temperatures. The strong temperature dependence of film nucleation and subsurface growth is ascribed to a relatively large increase in bulk species diffusivity that occurs upon the temperature-driven free volume expansion of the polypropylene. These results provide helpful insight into mechanisms for controlled organic/inorganic thin film and fiber materials integration.}, number={11}, journal={LANGMUIR}, author={Jur, Jesse S. and Spagnola, Joseph C. and Lee, Kyoungmi and Gong, Bo and Peng, Qing and Parsons, Gregory N.}, year={2010}, month={Jun}, pages={8239–8244} }
@article{peng_gong_vangundy_parsons_2009, title={"Zincone" Zinc Oxide-Organic Hybrid Polymer Thin Films Formed by Molecular Layer Deposition}, volume={21}, ISSN={["1520-5002"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000263891700009&KeyUID=WOS:000263891700009}, DOI={10.1021/cm8020403}, abstractNote={Hybrid organic−inorganic polymer thin films of the form (−O−Zn−O−C2H4−)n have been deposited from diethyl zinc and ethylene glycol using molecular layer deposition (MLD) over a range of substrate temperatures between 100 and 170 °C. Infrared transmission confirms that the films consist of Zn−O and ethylene-oxide units. In analogy with known alucone polymers of the form (−O−Al−O−R−)n, the zinc-based hybrid material is an example of a “zincone” polymer. In situ quartz crystal microbalance analysis indicated that the sequential surface reactions of diethyl zinc and ethylene glycol are sufficiently self-limiting and saturating to enable well-controlled MLD growth. Quantitative analysis of in situ quartz crystal microbalance and film thickness results indicate that ethylene glycol molecules can undergo a “double reaction” where the OH groups on both ends of the diol react with available Zn−C2H5 surface sites to produce a relatively inert bridging alkane. The mass uptake per MLD cycle during Zn−hybrid film depo...}, number={5}, journal={CHEMISTRY OF MATERIALS}, author={Peng, Qing and Gong, Bo and VanGundy, Ryan M. and Parsons, Gregory N.}, year={2009}, month={Mar}, pages={820–830} }
@article{gittard_hojo_hyde_scarel_narayan_parsons_2009, title={Antifungal Textiles Formed Using Silver Deposition in Supercritical Carbon Dioxide}, volume={19}, ISSN={1059-9495 1544-1024}, url={http://dx.doi.org/10.1007/s11665-009-9514-7}, DOI={10.1007/s11665-009-9514-7}, number={3}, journal={Journal of Materials Engineering and Performance}, publisher={Springer Science and Business Media LLC}, author={Gittard, Shaun D. and Hojo, Daisuke and Hyde, G. Kevin and Scarel, Giovanna and Narayan, Roger J. and Parsons, Gregory N.}, year={2009}, month={Jul}, pages={368–373} }
@article{hyde_scarel_spagnola_peng_lee_gong_roberts_roth_hanson_devine_et al._2010, title={Atomic Layer Deposition and Abrupt Wetting Transitions on Nonwoven Polypropylene and Woven Cotton Fabrics}, volume={26}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000274342200056&KeyUID=WOS:000274342200056}, DOI={10.1021/la902830d}, abstractNote={Atomic layer deposition (ALD) of aluminum oxide on nonwoven polypropylene and woven cotton fabric materials can be used to transform and control fiber surface wetting properties. Infrared analysis shows that ALD can produce a uniform coating throughout the nonwoven polypropylene fiber matrix, and the amount of coating can be controlled by the number of ALD cycles. Upon coating by ALD aluminum oxide, nonwetting hydrophobic polypropylene fibers transition to either a metastable hydrophobic or a fully wetting hydrophilic state, consistent with well-known Cassie-Baxter and Wenzel models of surface wetting of roughened surfaces. The observed nonwetting/wetting transition depends on ALD process variables such as the number of ALD coating cycles and deposition temperature. Cotton fabrics coated with ALD aluminum oxide at moderate temperatures were also observed to transition from a natural wetting state to a metastable hydrophobic state and back to wetting depending on the number of ALD cycles. The transitions on cotton appear to be less sensitive to deposition temperature. The results provide insight into the effect of ALD film growth mechanisms on hydrophobic and hydrophilic polymers and fibrous structures. The ability to adjust and control surface energy, surface reactivity, and wettability of polymer and natural fiber systems using atomic layer deposition may enable a wide range of new applications for functional fiber-based systems.}, number={4}, journal={LANGMUIR}, author={Hyde, G. Kevin and Scarel, Giovanna and Spagnola, Joseph C. and Peng, Qing and Lee, Kyoungmi and Gong, Bo and Roberts, Kim G. and Roth, Kelly M. and Hanson, Christopher A. and Devine, Christina K. and et al.}, year={2010}, month={Feb}, pages={2550–2558} }
@article{hyde_mccullen_jeon_stewart_jeon_loboa_parsons_2009, title={Atomic layer deposition and biocompatibility of titanium nitride nano-coatings on cellulose fiber substrates}, volume={4}, ISSN={["1748-605X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000264935500003&KeyUID=WOS:000264935500003}, DOI={10.1088/1748-6041/4/2/025001}, abstractNote={Atomic layer deposition (ALD) is investigated as a process to produce inorganic metallic bio-adhesive coatings on cellulosic fiber substrates. The atomic layer deposition technique is known to be capable of forming highly conformal and uniform inorganic thin film coatings on a variety of complex surfaces, and this work presents an initial investigation of ALD on porous substrate materials to produce high-precision biocompatible titanium oxynitride coatings. X-ray photoelectron spectroscopy (XPS) confirmed TiNOx composition, and transmission electron microscopy (TEM) analysis showed the coatings to be uniform and conformal on the fiber surfaces. Biocompatibility of the modified structures was determined as a function of coating layer thickness by fluorescent live/dead staining of human adipose-derived adult stem cells (hADSC) at 6, 12 and 24 h. Cell adhesion showed that thin TiNOx coatings yielded the highest number of cells after 24 h with a sample coated with a 20 Å coating having approximately 28.4 ± 3.50 ng DNA. By altering the thickness of the deposited film, it was possible to control the amount of cells adhered to the samples. This work demonstrates the potential of low temperature ALD as a surface modification technique to produce biocompatible cellulose and other implant materials.}, number={2}, journal={BIOMEDICAL MATERIALS}, author={Hyde, G. K. and McCullen, S. D. and Jeon, S. and Stewart, S. M. and Jeon, H. and Loboa, E. G. and Parsons, G. N.}, year={2009}, month={Apr} }
@article{peng_sun_spagnola_saquing_khan_spontak_parsons_2009, title={Bi-directional Kirkendall Effect in Coaxial Microtuble Nanolaminate Assemblies Fabricated by Atomic Layer Deposition}, volume={3}, ISSN={["1936-086X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000264535200010&KeyUID=WOS:000264535200010}, DOI={10.1021/nn8006543}, abstractNote={The solid-state reaction within a coaxial Al2O3/ZnO/Al2O3 multilayered microtubular structure can be used to prepare discrete microtube-in-microtube ZnAl2O4 spinel assemblies through a Kirkendall void production mechanism at 700 degrees C. In contrast with previous studies of the nanoscale Kirkendall effect, the reaction observed here proceeds through a bi-directional vacancy diffusion mechanism wherein ZnO species diffuse into inner- and outer-Al2O3 concentric layers, thereby resulting in vacancy supersaturation and void production between two isolated spinel microtubes. Low-temperature atomic layer deposition (ALD) of Al2O3 and ZnO enables the fabrication of complex coaxial multilayered microtubes with precise control of the starting film thicknesses and relative composition. When a molar excess of ZnO is present between two Al2O3 layers, electron microscopy images reveal incomplete ZnO consumption after annealing at 700 degrees C. At higher initial Al2O3 concentrations, however, complete reaction with ZnO is observed, and the size of the Kirkendall gap between isolated spinel microtubes appears to be directly influenced by the thickness of the intermediate ZnO layer.}, number={3}, journal={ACS NANO}, author={Peng, Qing and Sun, Xiao-Yu and Spagnola, Joseph C. and Saquing, Carl and Khan, Saad A. and Spontak, Richard J. and Parsons, Gregory N.}, year={2009}, month={Mar}, pages={546–554} }
@article{na_scarel_parsons_2010, title={In Situ Analysis of Dopant Incorporation, Activation, and Film Growth during Thin Film ZnO and ZnO:Al Atomic Layer Deposition}, volume={114}, ISSN={["1932-7447"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000273268600054&KeyUID=WOS:000273268600054}, DOI={10.1021/jp908332q}, abstractNote={In situ characterization of low temperature atomic layer deposition (ALD) of ZnO and aluminum-doped ZnO (ZnO:Al) establishes a relationship between species adsorption, mass uptake, and surface electrical conductance during deposition and dopant atom incorporation. Conductance measured in situ during ZnO ALD oscillates with species surface adsorption, consistent with surface potential modulation and charge transfer during surface reaction. Dopant introduction using trimethylaluminum impedes both surface potential modulation and film growth, and a reaction scheme involving surface proton exchange complexes is presented to understand the observed results. Electronically active doping is achieved only after Al species transition four to five monolayers into the film bulk, consistent with a nonuniform dopant atom distribution in the direction of film growth. Results have important implications in understanding relations between dopant incorporation, activation, and film growth mechanisms in atomic layer deposi...}, number={1}, journal={JOURNAL OF PHYSICAL CHEMISTRY C}, author={Na, Jeong-Seok and Scarel, Giovanna and Parsons, Gregory N.}, year={2010}, month={Jan}, pages={383–388} }
@article{na_peng_scarel_parsons_2009, title={Role of Gas Doping Sequence in Surface Reactions and Dopant Incorporation during Atomic Layer Deposition of Al-Doped ZnO}, volume={21}, ISSN={["1520-5002"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000272084500009&KeyUID=WOS:000272084500009}, DOI={10.1021/cm901404p}, abstractNote={Aluminum incorporation into ZnO films during atomic layer deposition (ALD) is investigated using in situ quartz crystal microbalance and electrical conductance analysis. Chemical interactions between Zn and Al species during ZnO:Al ALD depend on the order of metal precursor exposure. Exposing the growing ZnO surface to trimethyl aluminum (TMA) impedes the subsequent ∼4 monolayers of ZnO growth. However, the extent of interaction can be reduced by performing the TMA exposure immediately following a diethyl zinc step, without an intermediate water exposure step, consistent with increased surface mixing of Zn and Al species. Infrared spectroscopy analysis of heavily aluminum doped ZnO shows features consistent with the presence of amorphous ZnAl2O4 bonding units. For more lightly doped films, mass spectroscopic depth profiling confirms nonuniform aluminum distribution, even after annealing at 500 °C. Film conductance measured during growth shows complex trends that are highly repeatable over multiple doping ...}, number={23}, journal={CHEMISTRY OF MATERIALS}, author={Na, Jeong-Seok and Peng, Qing and Scarel, Giovanna and Parsons, Gregory N.}, year={2009}, month={Dec}, pages={5585–5593} }
@article{na_gong_scarel_parsons_2009, title={Surface Polarity Shielding and Hierarchical ZnO Nano-Architectures Produced Using Sequential Hydrothermal Crystal Synthesis and Thin Film Atomic Layer Deposition}, volume={3}, ISSN={["1936-086X"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000271106100043&KeyUID=WOS:000271106100043}, DOI={10.1021/nn900702e}, abstractNote={Three-dimensional nanoscale constructs are finding applications in many emerging fields, including energy generation and storage, advanced water and air purification, and filtration strategies, as well as photocatalytic and biochemical separation systems. Progress in these important technologies will benefit from improved understanding of fundamental principles underlying nanostructure integration and bottom-up growth processes. While previous work has identified hydrothermal synthesis conditions to produce nanoscale ZnO rods, sheets, and plates, strategies to systematically integrate these elements into more complex nano-architectures are not previously described. This article illustrates that amorphous nanoscale coatings formed by atomic layer deposition (ALD) are a viable means to modulate and screen the surface polarity of ZnO crystal faces and thereby regulate the growth morphology during successive hydrothermal nanocrystal synthesis. Using this new strategy, this work demonstrates direct integration and sequential assembly of nanocrystalline rods and sheets to produce complex three-dimensional geometric forms, where structure evolution is achieved by modifying the surface growth condition, keeping the hydrothermal growth chemistry unchanged. Therefore, rational planning of seed layer and feature spacing geometries may allow researchers to engineer, at the nanoscale, complex three-dimensional crystalline and semicrystalline constructs for a wide range of future applications.}, number={10}, journal={ACS NANO}, author={Na, Jeong-Seok and Gong, Bo and Scarel, Giovanna and Parsons, Gregory N.}, year={2009}, month={Oct}, pages={3191–3199} }
@article{scarel_hyde_hojo_parsons_2008, title={Berreman effect in infrared absorption spectroscopy of ionic oxide coatings formed by atomic layer deposition on three-dimensional structures}, volume={104}, ISSN={["1089-7550"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000260941700110&KeyUID=WOS:000260941700110}, DOI={10.1063/1.3013439}, abstractNote={We show through modeling and experimentation that the Berreman effect, physically manifested and investigated on planar surfaces for more than four decades, can be readily realized and studied in three-dimensional nonplanar structures. The Berreman effect is also used as a nonlocal probe to evaluate topography and homogeneity of insulating ionic oxide layers in nonplanar geometries. During infrared transmission studies the macroscopic angular dependence of the longitudinal optical mode absorbance deviates from that observed in the planar case, and the angular dependence is shown to be physically linked to geometry and homogeneity of the ionic oxide layer. Spectroscopic modeling confirms the observations on the angular dependence of longitudinal optical mode absorbance on various nonplanar systems. A linear combination of [sin(θ)]4 with appropriate coefficients is found to describe the trend of longitudinal optical mode absorbance in nonplanar structures.}, number={9}, journal={JOURNAL OF APPLIED PHYSICS}, author={Scarel, Giovanna and Hyde, G. Kevin and Hojo, Daisuke and Parsons, Gregory N.}, year={2008}, month={Nov} }
@article{peng_spagnola_daisuke_park_parsons_2008, title={Conformal metal oxide coatings on nanotubes by direct low temperature metal-organic pyrolysis in supercritical carbon dioxide}, volume={26}, ISSN={["2166-2746"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000256304600016&KeyUID=WOS:000256304600016}, DOI={10.1116/1.2917072}, abstractNote={The authors demonstrate that low temperature (<200 °C) thermal decomposition of metal-organic precursors dissolved in supercritical carbon dioxide (scCO2) is an effective method to penetrate into dense three-dimensional networks and deposit conformal metal oxide films with tunable thickness. The results specifically show that thermal decomposition of gallium acetylacetonate in scCO2, leads to conformal coatings of gallium oxide on multiwalled carbon nanotube forests with a fast deposition rate (∼3–4 nm/min), without the need for any cosolvent. Moreover, as-formed metal oxide/nanotube structures can be further conformally coated by metal atomic layer deposition to produce nanotube/oxide/metal nanocomposites.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Peng, Qing and Spagnola, Joseph C. and Daisuke, Hojo and Park, Kie Jin and Parsons, Gregory N.}, year={2008}, month={May}, pages={978–982} }
@article{na_ayres_chandra_gorman_parsons_2008, title={Nanoencapsulation and Stabilization of Single-Molecule/Particle Electronic Nanoassemblies Using Low-Temperature Atomic Layer Deposition}, volume={112}, ISSN={["1932-7447"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000261835000061&KeyUID=WOS:000261835000061}, DOI={10.1021/jp8066298}, abstractNote={This work addresses a significant challenge in engineered molecular systems regarding both understanding and controlling the stability of molecule/nanoparticle nanostructures under ambient exposure. Results deal specifically with molecular electronic junctions, where electronic contacts and transport are known to be sensitive to sample history and ambient exposure. We demonstrate that low-temperature atomic layer deposition can gently encapsulate and stabilize molecular electronic junctions, making it feasible to handle and transport junctions in air for many days with minimal change in electronic conduction. These findings indicate the potential for long-term stability of advanced synthetic nanoparticle/molecule nanoconstructs. For this study, conductivity through nanoparticle/molecule/nanoparticle junctions is analyzed and found to be consistent with nonresonant charge tunneling through a single or a small number of oligomeric phenylene ethynylene molecules in the electrical junction. The conductivity w...}, number={51}, journal={JOURNAL OF PHYSICAL CHEMISTRY C}, author={Na, Jeong-Seok and Ayres, Jennifer A. and Chandra, Kusum L. and Gorman, Christopher B. and Parsons, Gregory N.}, year={2008}, month={Dec}, pages={20510–20517} }
@article{peng_spagnola_parsons_2008, title={Self-catalyzed hydrogenolysis of nickelocene: Functional metal coating of three-dimensional nanosystems at low temperature}, volume={155}, ISSN={["0013-4651"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000258038800037&KeyUID=WOS:000258038800037}, DOI={10.1149/1.2946723}, abstractNote={In this paper we demonstrate the hydrogenolysis of nickelocene can take place through a self-catalyzed process at low temperature (<70°C) in supercritical carbon dioxide to generate relatively uniform dispersed Ni (nickel) metal particles onto carbon nanotubes forests and Ni films on flat surfaces. The ability to form metal particles or films without a local catalyst at this low temperature is significant because it provides further insight into mechanisms for Ni deposition reactions, and it enables a new route for low-temperature metal coating on a range of nonmetal substrate materials with complex topographies and nanostructures, for example, to form Ni/polymer magnetic nanocomposites.}, number={9}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Peng, Qing and Spagnola, Joseph C. and Parsons, Gregory N.}, year={2008}, pages={D580–D582} }
@article{hyde_park_stewart_hinestroza_parsons_2007, title={Atomic layer deposition of Conformal inorganic nanoscale coatings on three-dimensional natural fiber systems: Effect of surface topology on film growth characteristics}, volume={23}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000249241300048&KeyUID=WOS:000249241300048}, DOI={10.1021/la701449t}, abstractNote={Atomic-scale material deposition is utilized to achieve uniform coverage and modification of the surface properties of natural fiber and woven fabric materials, where irregular nanoscale features are embedded in a macroscale interpenetrating fiber network. The complex surface topology of the woven fabric results in significantly different film-growth thickness per ALD cycle as compared to planar surfaces coated using the same process conditions, likely due to reactant adsorption within the fiber starting material, as well as impeded reactant transport out of the fabric system during the purge cycle. Cotton textiles modified with conformal nanoscale Al2O3 are found to show extreme hydrophobic effects, distinctly different from planar surfaces that receive the same coatings. The results highlight key concerns for achieving controlled conformal coatings on complex surfaces and open the possibility for new textile finishing approaches to create novel fabric-based materials with specialized function and performance.}, number={19}, journal={LANGMUIR}, author={Hyde, G. Kevin and Park, Kie Jin and Stewart, S. Michael and Hinestroza, Juan P. and Parsons, Gregory N.}, year={2007}, month={Sep}, pages={9844–9849} }
@article{peng_sun_spagnola_hyde_spontak_parsons_2007, title={Atomic layer deposition on electrospun polymer fibers as a direct route to Al2O3 microtubes with precise wall thickness control}, volume={7}, ISSN={["1530-6984"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000244867400032&KeyUID=WOS:000244867400032}, DOI={10.1021/nl062948i}, abstractNote={Atomic layer deposition (ALD) of Al2O3 on electrospun poly(vinyl alcohol) microfiber templates is demonstrated as an effective and robust strategy by which to fabricate long and uniform metal-oxide microtubes. The wall thickness is shown to be precisely controlled within a molecular layer or so by adjusting the number of ALD cycles utilized. By judicious selection of the electrospinning and ALD parameters, designer tubes of various sizes and inorganic materials can be synthesized.}, number={3}, journal={NANO LETTERS}, author={Peng, Qing and Sun, Xiao-Yu and Spagnola, Joseph C. and Hyde, G. Kevin and Spontak, Richard J. and Parsons, Gregory N.}, year={2007}, month={Mar}, pages={719–722} }
@article{na_ayres_chandra_chu_gorman_parsons_2007, title={Conduction mechanisms and stability of single molecule nanoparticle/molecule/nanoparticle junctions}, volume={18}, ISSN={0957-4484 1361-6528}, url={http://dx.doi.org/10.1088/0957-4484/18/3/035203}, DOI={10.1088/0957-4484/18/3/035203}, abstractNote={Nanoparticle/molecule/nanoparticle dimer assemblies have been successfully trapped by dielectrophoresis across nanogap electrodes, enabling temperature dependent charge transport measurements through an oligomeric phenylene ethynylene molecule, and transition from direct tunnelling to Fowler–Nordheim tunnelling is observed at ∼1.5 V. Samples formed by dielectrophoresis show better contact stability than those formed by receding meniscus. The junction shows stable operation over several weeks in a vacuum, but current increases with time upon exposure to air, possibly due to the adsorbed water molecules near the molecule/gold nanoparticle contacts.}, number={3}, journal={Nanotechnology}, publisher={IOP Publishing}, author={Na, Jeong-Seok and Ayres, Jennifer and Chandra, Kusum L and Chu, Changwoong and Gorman, Christopher B and Parsons, Gregory N}, year={2007}, month={Jan}, pages={035203} }
@article{chu_na_parsons_2007, title={Conductivity in alkylamine/gold and alkanethiol/gold molecular junctions measured in molecule/nanoparticle/molecule bridges and conducting probe structures}, volume={129}, ISSN={["1520-5126"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000244330800030&KeyUID=WOS:000244330800030}, DOI={10.1021/ja064968s}, abstractNote={Charge transport through alkane monolayers on gold is measured as a function of molecule length in a controlled ambient using a metal/molecule/nanoparticle bridge structure and compared for both thiol and amine molecular end groups. The current through molecules with an amine/gold junction is observed to be more than a factor of 10 larger than that measured in similar molecules with thiol/gold linkages. Conducting probe atomic force microscopy is also used to characterize the same monolayer systems, and the results are quantitatively consistent with those found in the nanoparticle bridge geometry. Scaling of the current with contact area is used to estimate that approximately 100 molecules are probed in the nanoparticle bridge geometry. For both molecular end groups, the room-temperature conductivity at low bias as a function of molecule length shows a reasonable fit to models of coherent nonresonant charge tunneling. The different conductivity is ascribed to differences in charge transfer and wave function mixing at the metal/molecule contact, including possible effects of amine group oxidation and molecular conformation. For the amine/Au contact, the nitrogen lone pair interaction with the gold results in a hybrid wave function directed along the molecule bond axis, whereas the thiol/Au contact leads to a more localized wave function.}, number={8}, journal={JOURNAL OF THE AMERICAN CHEMICAL SOCIETY}, author={Chu, Changwoong and Na, Jeong-Seok and Parsons, Gregory N.}, year={2007}, month={Feb}, pages={2287–2296} }
@article{chu_ayres_stefanescu_walker_gorman_parsons_2007, title={Enhanced conduction through isocyanide terminal groups in alkane and biphenylene molecules measured in molecule/nanoparticle/molecule junctions}, volume={111}, ISSN={["1932-7447"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000246842400037&KeyUID=WOS:000246842400037}, DOI={10.1021/jp065377r}, abstractNote={Electrical conductance through conjugated biphenyl and saturated alkane molecules on gold electrodes is characterized using a molecule/nanoparticle/molecule electrical test-bed assembly, and comparisons are made between molecules containing isocyanide (−NC) and thiol (−S) terminal groups bound to the gold. Current versus voltage analysis is consistent with charge tunneling through all systems studied. For molecules containing biphenyl, diphenyl acetylene, and alkane bridges, those containing an isocyanide terminal group show an order of magnitude increase in conductance as compared to those containing a thiol terminal group. Various theoretical predictions of the effect of isocyanide terminal groups on charge transfer through conjugated molecular systems are discussed and related to the results observed for charge transfer through conjugated and saturated molecules. The similar trends in charge transport observed for the different metal/linker interfaces suggest that the metal/linker contact plays an impo...}, number={22}, journal={JOURNAL OF PHYSICAL CHEMISTRY C}, author={Chu, Changwoong and Ayres, J. A. and Stefanescu, D. M. and Walker, B. R. and Gorman, Christopher B. and Parsons, Gregory N.}, year={2007}, month={Jun}, pages={8080–8085} }
@article{park_terry_stewart_parsons_2007, title={In situ Auger electron spectroscopy study of atomic layer deposition: Growth initiation and interface formation reactions during ruthenium ALD on Si-H, SiO2, and HfO2 surfaces}, volume={23}, ISSN={["0743-7463"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000246456700038&KeyUID=WOS:000246456700038}, DOI={10.1021/la061898u}, abstractNote={Growth initiation and film nucleation in atomic layer deposition (ALD) is important for controlling interface composition and achieving atomic-scale films with well-defined composition. Ruthenium ALD is studied here using ruthenocene and oxygen as reactants, and growth initiation and nucleation are characterized on several different growth surfaces, including SiO2, HfO2, and hydrogen terminated silicon, using on-line Auger electron spectroscopy and ex-situ X-ray photoelectron spectroscopy. The time needed to reach the full growth rate (typically approximately 1 A per deposition cycle) is found to increase as the surface energy of the starting surface (determined from contact angle measurements) decreased. Growth starts more readily on HfO2 than on SiO2 or Si-H surfaces, and Auger analysis indicates distinct differences in surface reactions on the various surfaces during film nucleation. Specifically, surface oxygen is consumed during ruthenocene exposure, so the nucleation rate will depend on the availability of oxygen and the energetics of surface oxygen bonding on the starting substrate surface.}, number={11}, journal={LANGMUIR}, author={Park, Kie Jin and Terry, David B. and Stewart, S. Michael and Parsons, Gregory N.}, year={2007}, month={May}, pages={6106–6112} }
@article{peng_hojo_park_parsons_2008, title={Low temperature metal oxide film deposition and reaction kinetics in supercritical carbon dioxide}, volume={516}, ISSN={["0040-6090"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000256509100054&KeyUID=WOS:000256509100054}, DOI={10.1016/j.tsf.2007.10.057}, abstractNote={An effective method is developed for low temperature metal oxide deposition through thermal decomposition of metal diketonates in supercritical carbon dioxide (scCO2) solvent. The rates of Al(acac)3 (Aluminum acetyl acetonate) and Ga(acac)3 (Gallium acetyl acetonate) thermal decomposition in scCO2 to form conformal Al2O3 and Ga2O3 thin films on planar surfaces were investigated. The thermal decomposition reaction of Al(acac)3 and Ga(acac)3 was found to be initialized at ∼ 150 °C and 160 °C respectively in scCO2 solvent, compared to ∼ 250 °C and 360 °C in analogous vacuum-based processes. By measuring the temperature dependence of the growth rates of metal oxide thin films, the apparent activation energy for the thermal decomposition of Al(acac)3 in scCO2 is found to be 68 ± 6 kJ/mol, in comparison with 80–100 kJ/mol observed for the corresponding vacuum-based thermal decomposition reaction. The enhanced thermal decomposition rate in scCO2 is ascribed to the high density solvent which effectively reduces the energy of the polar transition states in the reaction pathway. Preliminary results of thin film deposition of other metal oxides including ZrOx, FeOx, Co2O3, Cr2O3, HfOx from thermal decomposition of metal diketonates or fluorinated diketonates in scCO2 are also presented.}, number={15}, journal={THIN SOLID FILMS}, author={Peng, Qing and Hojo, Daisuke and Park, Kie Jin and Parsons, Gregory N.}, year={2008}, month={Jun}, pages={4997–5003} }
@article{na_ayres_chandra_gorman_parsons_2007, title={Real-time conductivity analysis through single-molecule electrical junctions}, volume={18}, ISSN={0957-4484 1361-6528}, url={http://dx.doi.org/10.1088/0957-4484/18/42/424001}, DOI={10.1088/0957-4484/18/42/424001}, abstractNote={Conductance through single-molecule junctions, consisting of nanoparticle/molecule/nanoparticle units between nanoscale planar electrodes, was monitored in real time during several process sequences, including dielectrophoretic directed self-assembly and post-assembly modification. Assembly faults are directly detected in real time when non-ideal assembly conditions result in molecular junction failure and nanoparticle fusion in the junction. The real-time conductivity measured through the junction was sensitive to ambient conditions, and changes persisted over several days of exposure. Atomic layer deposition of Al2O3 was used to encapsulate and isolate the molecular junctions, and the effect of the deposition process sequence on current through the junction was evaluated in real time. Results indicate that the current measured during atomic layer deposition is sensitive to the chemical oxidation and reduction reactions proceeding in the 1–2 nm confined region between assembled nanoparticles.}, number={42}, journal={Nanotechnology}, publisher={IOP Publishing}, author={Na, Jeong-Seok and Ayres, Jennifer and Chandra, Kusum L and Gorman, Christopher B and Parsons, Gregory N}, year={2007}, month={Sep}, pages={424001} }
@article{gougousi_terry_parsons_2006, title={Charge generation during oxidation of thin Hf metal films on silicon}, volume={513}, ISSN={["0040-6090"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000238963600033&KeyUID=WOS:000238963600033}, DOI={10.1016/j.tsf.2006.02.004}, abstractNote={Oxidation of Hf metal films on Si appears to follow different charge generation rules than the traditional oxidation of Si described in detail by Deal et al. [B.E. Deal, M. Sklar, A.S. Grove, E.H. Snow, J. Electrochem. Soc. 114 (1967) 226]. Oxidation of thin Hf metal films on silicon in oxygen rich environments to form Hf-silicate results in rapid growth of silicon oxide interfacial layers and generation of significant charge concentration in the films. Oxidation of Hf in oxygen-deficient environment leads to improved control of the interface with much thinner interfacial layers and substantial reduction in the charge present in the films. Results from capacitance vs. voltage and X-ray photoelectron spectroscopy measurements are compared to correlate charge with chemical structure evolution during oxidation and dielectric layer formation. It is demonstrated that processing conditions may influence the quality of the Hf dielectric film significantly by generating positive charge that is not intrinsic to the material.}, number={1-2}, journal={THIN SOLID FILMS}, author={Gougousi, Theodosia and Terry, David B. and Parsons, Gregory N.}, year={2006}, month={Aug}, pages={201–205} }
@article{park_parsons_2006, title={Selective area atomic layer deposition of rhodium and effective work function characterization in capacitor structures}, volume={89}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000239376500102&KeyUID=WOS:000239376500102}, DOI={10.1063/1.2234846}, abstractNote={Atomic layer deposition (ALD) of rhodium was investigated using rhodium(III) acetylacetonate and oxygen, and capacitance versus voltage is used to extract the effective work function in metal/insulator/semiconductor structures. Self-limiting growth was observed, and the resistivity of Rh deposited at 300°C is ∼10μΩcm, approximately a factor of 2 larger than the Rh bulk resistivity (4.3μΩcm). Selective area deposition is achieved using patterned resist layers, enabling capacitor fabrication without Rh etching. In the as-deposited state, the effective work function was measured to be 5.43 and 5.25eV on SiO2 and HfO2 dielectrics, respectively. The ALD Rh films formed under conditions used likely contain residual oxygen which can affect oxygen vacancy creation and the effective work function at the metal/dielectric interface.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={Park, K. J. and Parsons, G. N.}, year={2006}, month={Jul} }
@article{chu_parsons_2006, title={Solvent enhanced resist flow for room temperature imprint lithography}, volume={24}, ISSN={["2166-2746"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000237172000056&KeyUID=WOS:000237172000056}, DOI={10.1116/1.2180258}, abstractNote={Imprint lithography generally requires heating to decrease the resist viscosity to achieve sufficient flow. Procedures using little or no heating are of interest for organic devices and for patterning materials on flexible plastic substrates. This article describes a procedure to imprint resist patterns at room temperature using commercial resist materials, where residual solvent remaining in the resist after spin coating is used to reduce the resist viscosity and enhance the deformation ability. This room temperature imprint shows results comparable with the elevated temperature processes done at 165°C, suggesting similar resist viscosity under the conditions studied. The imprinted depth decreases with elapsed time after coating, consistent with time-dependent evaporation of solvent from the resist bulk.}, number={2}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Chu, C and Parsons, GN}, year={2006}, pages={818–822} }
@article{barua_gougousi_young_parsons_2006, title={Supercritical-carbon dioxide-assisted cyclic deposition of metal oxide and metal thin films}, volume={88}, ISSN={["1077-3118"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000235736300065&KeyUID=WOS:000235736300065}, DOI={10.1063/1.2181651}, abstractNote={Thin films of aluminum oxide and palladium were deposited on silicon at low temperatures (70–120°C) by a cyclic adsorption/reaction processes using supercritical CO2 solvent. Precursors included Al(hfac)3, Al(acac)3, and Pd(hfac)2, and aqueous H2O2, tert-butyl peracetate, and H2 were used as the oxidants or reductants. For the precursors studied, growth proceeds through a multilayer precursor adsorption in each deposition cycle, and film thickness increased linearly with the number of growth cycles.}, number={9}, journal={APPLIED PHYSICS LETTERS}, author={Barua, D and Gougousi, T and Young, ED and Parsons, GN}, year={2006}, month={Feb} }
@article{parsons_huff_gilmer_2005, title={Designing interface composition and structure in high dielectric constant gate stacks}, volume={16}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=CCC&KeyUT=CCC:000227551500010&KeyUID=CCC:000227551500010}, journal={High Dielectric Constant Materials}, author={Parsons, GN and Huff, HR and Gilmer, DC}, year={2005}, pages={287–310} }
@article{kelly_han_musgrave_parsons_2005, title={In-situ infrared spectroscopy and density functional theory modeling of hafnium alkylamine adsorption on Si-OH and Si-H surfaces}, volume={17}, ISSN={["1520-5002"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000232743700015&KeyUID=WOS:000232743700015}, DOI={10.1021/cm051064h}, abstractNote={In-situ attenuated total internal reflection infrared spectroscopy has been used to examine initial adsorption and reaction steps in atomic layer deposition of HfO 2 from tetrakis(diethylamino) hafnium (TDEAHf) on SiO 2 and hydrogen-terminated Si(100) surfaces. At low deposition temperatures (25-250 °C), TDEAHf directly reacts with the Si-H surface, resulting in partial removal of Si-H bonds and formation of a four-membered Si-O-Hf-Si bonding structure that can rapidly oxidize. The hydrogen removal process is observed to continue through many cycles of TDEAHf/H 2 O exposure, signifying continued reactivity of the Hf precursor with the silicon surface. Density functional theory calculations have been performed for various reactions between tetrakis(dimethylamino) hafnium and Si-H surfaces, and several possible reaction pathways for hydrogen removal have been identified and analyzed. The calculations suggest that hydrogen removal proceeds by H abstraction by an amine ligand of the Hf precursor and that the abstraction reaction is made more facile by the presence of OH on the otherwise H-terminated Si surface.}, number={21}, journal={CHEMISTRY OF MATERIALS}, author={Kelly, MJ and Han, JH and Musgrave, CB and Parsons, GN}, year={2005}, month={Oct}, pages={5305–5314} }
@article{gougousi_barua_young_parsons_2005, title={Metal oxide thin films deposited from metal organic precursors in supercritical CO2 solutions}, volume={17}, ISSN={["0897-4756"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000232326700027&KeyUID=WOS:000232326700027}, DOI={10.1021/cm0510965}, abstractNote={This work demonstrates a novel method for deposition of metal oxide thin films, including Al2O3, ZrO2, MnOx, and RuOx where the metal−organic precursors and oxidizing agents are delivered in liquid and supercritical CO2. A cyclic deposition process is presented where reactants are introduced sequentially to control surface adsorption and byproduct removal steps. Reactions are studied in a hot wall reactor at pressures ranging from 1600 to 3600 psi at 80−200 °C, and X-ray photoelectron spectroscopy and infrared transmission confirmed metal oxide formation. We show that hydrogen peroxide is a viable O source for oxide deposition, whereas tert-butyl peracetate, which is a good electron acceptor, is less suited for oxygen donation. Capacitance versus voltage analysis of resulting Al2O3 films show good dielectric properties after post-deposition anneal. We believe that the good solvation properties of supercritical CO2 can aid in the delivery of precursors and in the removal of byproducts for advanced low-temp...}, number={20}, journal={CHEMISTRY OF MATERIALS}, author={Gougousi, T and Barua, D and Young, ED and Parsons, GN}, year={2005}, month={Oct}, pages={5093–5100} }
@article{park_doub_gougousi_parsons_2005, title={Microcontact patterning of ruthenium gate electrodes by selective area atomic layer deposition}, volume={86}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000227144700016&KeyUID=WOS:000227144700016}, DOI={10.1063/1.1852079}, abstractNote={Patterned octadecyltrichlorosilane monolayers are used to inhibit film nucleation, enabling selective area atomic layer deposition (ALD) of ruthenium on SiO2 and HfO2 surfaces using bis-(cyclopentadienyl)ruthenium and oxygen. X-ray photoelectron spectroscopy indicated that OTS could deactivate film growth on thermal silicon oxide and hafnium oxide surfaces. The growth rate of ALD Ru is similar on various starting surfaces, but the growth initiation differed substantially. Metal-oxide-semiconductor capacitors were fabricated directly using the selective-area process. Capacitance measurements indicate the effective work function of ALD Ru is 4.84±0.1eV on SiO2, and the effective work function is reduced on HfO2∕SiO2 layers.}, number={5}, journal={APPLIED PHYSICS LETTERS}, author={Park, KJ and Doub, JM and Gougousi, T and Parsons, GN}, year={2005}, month={Jan} }
@inbook{sivasubramani_zhao_kim_gnade_wallace_edge_schlom_parsons_misra_seiler_et al._2005, title={Thermal stability studies of advanced gate stack structures on Si (100)}, volume={788}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000233588000023&KeyUID=WOS:000233588000023}, booktitle={Characterization and Metrology for ULSI Technology 2005}, author={Sivasubramani, P and Zhao, P and Kim, MJ and Gnade, BE and Wallace, RM and Edge, LF and Schlom, DG and Parsons, GN and Misra, V and Seiler, DG and et al.}, year={2005}, pages={156–160} }
@article{park_parsons_2004, title={Bulk and interface charge in low temperature silicon nitride for thin film transistors on plastic substrates}, volume={22}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000225505900007&KeyUID=WOS:000225505900007}, DOI={10.1116/1.1795822}, abstractNote={We report bulk and interface charge densities in silicon nitride thin films deposited by plasma chemical vapor deposition from NH3–N2–SiH4 mixtures, at temperatures compatible with flexible plastic substrates. Bulk and interface charges are independently determined from measurements of flat band potential as a function of film thickness for a range of substrate temperatures and gas compositions. Increasing NH–SiH bond ratio in the deposited films (determined from infrared absorption) leads to a more positive interface charge and more negative bulk charge, and the trend in interface charge is consistent with a relation between charge and stress at the Si–SiNx interface. As substrate temperature is decreased from 250to50°C, the leakage is observed to decrease, the interface charge decreases, and the bulk charge changes from negative to net positive.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Park, KJ and Parsons, GN}, year={2004}, pages={2256–2260} }
@article{niu_ashcraft_hinkle_parsons_2004, title={Effect of N-2 plasma on yttrium oxide and yttrium-oxynitride dielectrics}, volume={22}, ISSN={["1520-8559"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000222091800002&KeyUID=WOS:000222091800002}, DOI={10.1116/1.1666880}, abstractNote={In this article, we report the effect of nitrogen plasma, during and after deposition, on nitrogen incorporation into yttrium oxide dielectric films. Films are deposited using a yttrium β-diketonate precursor (Y(tmhd)3) introduced downstream from a O2 or N2 plasma. The precursor acted as a significant source of oxygen, and only small amounts of N (<10 at. %) were incorporated in the films. Chemical bonding, concentration, and distribution of N in Y-oxide films after deposition and after high-temperature anneal were characterized using x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, and Auger electron spectroscopy. C–N is the primary form of nitrogen bonding in the as-deposited films, and IR results indicate the exchange of N with O to form C–O bonds occurs during prolonged exposure to air. High-temperature annealing releases N from the surface of as-deposited films, and results in a film structure that is resistant to further N incorporation. Results suggest that yttrium oxynitride is likely inherently unstable, especially in contact with ambient moisture.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Niu, D and Ashcraft, RW and Hinkle, C and Parsons, GN}, year={2004}, pages={445–451} }
@misc{lucovsky_parsons_2004, title={Non-crystalline oxides for use in microelectronic, optical, and other applications}, volume={6,787,861}, number={2004 Sept. 7}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Lucovsky, G. and Parsons, G. N.}, year={2004} }
@article{gougousi_parsons_2004, title={Postdeposition reactivity of sputter-deposited high-dielectric-constant films with ambient H2O and carbon-containing species}, volume={95}, ISSN={["1089-7550"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000188281800087&KeyUID=WOS:000188281800087}, DOI={10.1063/1.1636513}, abstractNote={The room temperature reactivity of group III and IV based high-dielectric-constant films during long-term (>1 year) exposure to ambient atmosphere (H2O- and C-containing species such as CO2) has been studied in order to assess the stability of the materials. We prepare the films by physical vapor deposition of metal on Si (100) surfaces which is followed by oxidation in N2O (1 atm), and monitor the formation of carbonate/alkoxylate and hydroxide species using Fourier transform infrared spectroscopy. For La-based films we find that the oxidation temperature has a strong effect on the reactivity with the ambient. For Hf- and Zr-based films, formation of various carbonate or alkoxylate species is confirmed within minutes of exposure, while substantial reaction with H2O is not detected. Hf-based films, in general exhibit superior stability upon long-term exposure.}, number={3}, journal={JOURNAL OF APPLIED PHYSICS}, author={Gougousi, T and Parsons, GN}, year={2004}, month={Feb}, pages={1391–1396} }
@article{ulrich_rowe_niu_parsons_2003, title={Bonding and structure of ultrathin yttrium oxide films for Si field effect transistor gate dielectric applications}, volume={21}, ISSN={["1071-1023"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000185080000113&KeyUID=WOS:000185080000113}, DOI={10.1116/1.1593647}, abstractNote={Soft x-ray photoelectron spectroscopy using synchrotron radiation has been employed to study the interface between Y2O3 films and Si(100). Y2O3 films of ∼8, ∼15, and 65 Å were formed by plasma assisted chemical vapor deposition on HF-last Si(100). With this deposition technique, SiO2 forms at the interface and a kinetically limited silicate layer forms between the resulting SiO2 deposited Y2O3. For 65 Å films, the Y 3d5/2 binding energy was between 158.8 and 159.0 eV, 2.2–2.4 eV higher than the reported value of 156.6 eV for Y2O3. For 8 and 15 Å films, the Y 3d5/2 binding energies were 159.6 and 158.9 eV, respectively. The relatively high binding energies are attributed to hydroxide incorporation in the film. For the ultrathin films, ∼10 Å of SiO2 was formed at the interface during or after the deposition. For the 8 Å film, no silicate is detectable whereas for the 15 Å film, an estimated 4 Å of silicate is present between the interfacial SiO2 and Y2O3 overlayer. Because this transition layer does not form in the 8 Å film, it is concluded that the mixing is kinetically limited. For the 8 Å film, the Si 2p3/2 [SiO2] binding energy was 3.65 eV relative to the substrate peak. For the 15 Å deposition, the Si 2p3/2 [SiO2] binding energy was 3.44 eV and the Si 2p3/2 [silicate] binding energy was 2.65 eV relative to the substrate peak.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Ulrich, MD and Rowe, JE and Niu, D and Parsons, GN}, year={2003}, pages={1792–1797} }
@article{gougousi_niu_ashcraft_parsons_2003, title={Carbonate formation during post-deposition ambient exposure of high-k dielectrics}, volume={83}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000186068400033&KeyUID=WOS:000186068400033}, DOI={10.1063/1.1623316}, abstractNote={When thick films of group-III (La, Y)- and group-IV (Hf, Zr)-based high-k dielectrics are exposed to ambient for several months, Fourier transform infrared spectroscopy shows formation of carbonate species in the film bulk, likely due to reaction with atmospheric CO2. Group-III-based films show signs of carbonate feature growth within 10 min of air exposure, especially in films processed at relatively low temperatures (<600 °C). Carbonate formation is verified also for group-IV-based films, but at a significantly reduced concentration. Post-exposure annealing can reduce the carbonate observed in the IR spectra. However, post-exposure annealing likely does not remove carbon contamination, and it results in interface silicon oxide growth. The observed reactions of high-k films with the ambient may impose significant constraints on the post-deposition handling of high-k films.}, number={17}, journal={APPLIED PHYSICS LETTERS}, author={Gougousi, T and Niu, D and Ashcraft, RW and Parsons, GN}, year={2003}, month={Oct}, pages={3543–3545} }
@article{niu_ashcraft_chen_stemmer_parsons_2003, title={Chemical, physical, and electrical characterizations of oxygen plasma assisted chemical vapor deposited yttrium oxide on silicon}, volume={150}, ISSN={["1945-7111"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000182184200051&KeyUID=WOS:000182184200051}, DOI={10.1149/1.1566415}, abstractNote={Understanding and controlling interface and bulk chemical stability of chemical vapor deposited high-k dielectrics is an important research issue. We report thin Y 2 O 3 films deposited by oxygen plasma assisted chemical vapor deposition using two yttrium diketonate precursors. Unacceptable large hysteresis in capacitance-voltage data, presumably due to the incorporation of fluorine. is observed for the films from the F-containing precursor. For films deposited with the hydrogenated precursor and exposed to air after deposition, transmission electron microscopy shows a triple layer structure after annealing, and electron energy loss spectroscopy and X-ray photoelectron spectroscopy show the film to be stoichiometric Y 2 O 3 on top and yttrium silicate/SiO 2 at dielectric/Si interface. This structure is also confirmed by Fourier transform infrared spectroscopy, X-ray diffraction, and atomic force microscopy. Prenitridation of the silicon surface prior to dielectric deposition impedes the reaction with the substrate. promoting the Y 2 O 3 structure. A substantial consumption of silicon substrate is directly demonstrated by a carefully designed etching experiment. Possible mechanisms consistent with the observed results, including Si diffusion, crystallization of Y 2 O 3 , and reaction with absorbed OH, are discussed.}, number={5}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Niu, D and Ashcraft, RW and Chen, Z and Stemmer, S and Parsons, GN}, year={2003}, month={May}, pages={F102–F109} }
@misc{parsons_chambers_kelly_2003, title={High dielectric constant metal silicates formed by controlled metal-surface reactions}, volume={6,521,911}, number={2003 Feb. 18}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Parsons, G. N. and Chambers, J. J. and Kelly, M. J.}, year={2003} }
@inbook{gougousi_kelly_parsons_king_yu_lander_saito_2003, title={Kinetics of charge generation during formation of Hf and Zr silicate dielectrics}, volume={765}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000186232900011&KeyUID=WOS:000186232900011}, booktitle={Comos Front-End Materials and Process Technology}, author={Gougousi, T and Kelly, MJ and Parsons, GN and King, TJ and Yu, B and Lander, RJP and Saito, S}, year={2003}, pages={79–84} }
@article{gougousi_kelly_terry_parsons_2003, title={Properties of La-silicate high-K dielectric films formed by oxidation of La on silicon}, volume={93}, ISSN={["1089-7550"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000180630200056&KeyUID=WOS:000180630200056}, DOI={10.1063/1.1531818}, abstractNote={In this article, we present data on the properties of La-based high-k dielectric films prepared by oxidation of La deposited by physical vapor deposition on silicon. Films are characterized by x-ray photoelectron spectroscopy, infrared absorption, and capacitance versus voltage analysis. We find that when we oxidize La metal sputter deposited on Si substrates, it reacts with the silicon substrate to form La silicate. La films as thick as 300 Å will react completely with Si under moderate oxidation conditions (900 °C for 10 min) suggesting a very rapid silicidation reaction between La and Si. Under some processing conditions the as-deposited films contain a small La2O3 component that reduces to La silicate upon anneal at high temperatures. La-silicate films do not phase separate into La2O3 and SiO2 upon annealing at 1050 °C, and their resistance to H2O incorporation depends critically on the oxidation temperature. Electrical measurements show a high concentration of positive fixed charge.}, number={3}, journal={JOURNAL OF APPLIED PHYSICS}, author={Gougousi, T and Kelly, MJ and Terry, DB and Parsons, GN}, year={2003}, month={Feb}, pages={1691–1696} }
@article{gupta_yang_parsons_2002, title={Ab initio analysis of silyl precursor physisorption and hydrogen abstraction during low temperature silicon deposition}, volume={496}, ISSN={["0039-6028"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000173286100016&KeyUID=WOS:000173286100016}, DOI={10.1016/S0039-6028(01)01467-4}, abstractNote={Abstract The energetics of silyl (SiH 3 ) precursor surface adsorption and hydrogen abstraction on a monohydride terminated silicon surface are described. The abstraction of surface hydrogen by H radicals is more exothermic, and proceeds with a smaller kinetic barrier than H abstraction by silyl. Surface adsorption and abstraction were analyzed using both multi-parent configuration interaction (CI) and several density functional approaches using the Si 4 H 10 cluster representing a monohydride terminated silicon (1 1 1) surfaces, and results from the two techniques are critically compared and evaluated. Hydrogen abstraction by H is found to proceed through a kinetic barrier that is between 0 kcal/mol predicted by DFT and 7.2 kcal/mol determined from CI, consistent with experimental values of ∼2 kcal/mol. The barrier height for H abstraction by silyl (without zero point and H tunneling corrections) is determined to be between 4.1 kcal/mol calculated using DFT, and 14.2 kcal/mol determined from the multi-parent CI. These calculations indicate that during typical low temperature silicon deposition processes, H abstraction by impinging hydrogen atoms dominates H abstraction by SiH 3 and plays an important role in creation of surface dangling bonds. None of the Si–H/silyl potential energy surfaces obtained from CI and DFT methods show evidence for stable physisorbed three-center Si–H–(SiH 3 ) p bond, which is commonly presumed in several models of silicon thin film deposition. We discuss these results in relation to experimental analysis of surface diffusion kinetics in film deposition, and suggest alternate growth models, including H-mediated Si–Si bond breaking and/or direct silyl insertion, to describe activated low temperature silicon-based film deposition.}, number={3}, journal={SURFACE SCIENCE}, author={Gupta, A and Yang, H and Parsons, GN}, year={2002}, month={Jan}, pages={307–317} }
@article{bray_gupta_parsons_2002, title={Effect of hydrogen on adsorbed precursor diffusion kinetics during hydrogenated amorphous silicon deposition}, volume={80}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000174623300040&KeyUID=WOS:000174623300040}, DOI={10.1063/1.1467616}, abstractNote={Fractal analysis of the surface topography is used to study the effects of hydrogen dilution on the surface transport kinetics during the plasma deposition of hydrogenated amorphous silicon. Images obtained from atomic force microscopy are examined using dimensional fractal analysis, and surface diffusion lengths of growth precursors are estimated from the measured correlation lengths. The addition of small amounts of hydrogen (H2/SiH4 ratios <10/1) during deposition leads to a decrease in the diffusion length, but larger hydrogen dilutions result in increased diffusion length. Moreover, the measured surface diffusion activation barrier is reduced from 0.20 eV for deposition from pure SiH4 to 0.13 eV with high hydrogen dilution. Results are consistent with recent models for precursor surface transport during low-temperature deposition, and give insight into critical processes for low-temperature silicon crystallization.}, number={13}, journal={Applied Physics Letters}, author={Bray, KR and Gupta, A and Parsons, Gregory}, year={2002}, pages={2356–2358} }
@article{niu_ashcraft_chen_stemmer_parsons_2002, title={Electron energy-loss spectroscopy analysis of interface structure of yttrium oxide gate dielectrics on silicon}, volume={81}, ISSN={["1077-3118"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000176871600036&KeyUID=WOS:000176871600036}, DOI={10.1063/1.1496138}, abstractNote={Interface stability of high dielectric constant gate insulators on silicon is an important issue for advanced gate stack engineering. In this article, we analyze the silicon/dielectric interface structure for thin Y2O3 and Y silicate films deposited by chemical vapor deposition on clean and prenitrided Si(100) using high-resolution transmission electron microscopy, electron energy-loss spectroscopy, and x-ray photoelectron spectroscopy. The analysis shows the films to be stoichiometric Y2O3 on top and Y-silicate/SiO2 at the dielectric/Si interface. Prenitridation of the silicon surface impedes the reaction between the depositing film and the substrate, promoting a Si-free Y2O3 structure. Possible mechanisms leading to the observed Y2O3 and Y silicate structures are discussed.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={Niu, D and Ashcraft, RW and Chen, Z and Stemmer, S and Parsons, GN}, year={2002}, month={Jul}, pages={676–678} }
@article{niu_ashcraft_kelly_chambers_klein_parsons_2002, title={Elementary reaction schemes for physical and chemical vapor deposition of transition metal oxides on silicon for high-k gate dielectric applications}, volume={91}, ISSN={["0021-8979"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000175069000098&KeyUID=WOS:000175069000098}, DOI={10.1063/1.1468253}, abstractNote={This article describes the kinetics of reactions that result in substrate consumption during formation of ultrathin transition metal oxides on silicon. Yttrium silicate films (∼40 Å) with an equivalent silicon dioxide thickness of ∼11 Å are demonstrated by physical vapor deposition (PVD) routes. Interface reactions that occur during deposition and during postdeposition treatment are observed and compared for PVD and chemical vapor deposition (CVD) yttrium oxides and CVD aluminum-oxide systems. Silicon diffusion, metal-silicon bond formation, and reactions involving hydroxides are proposed as critical processes in interface layer formation. For PVD of yttrium silicate, oxidation is thermally activated with an effective barrier of 0.3 eV, consistent with the oxidation of silicide being the rate-limited step. For CVD aluminum oxide, interface oxidation is consistent with a process limited by silicon diffusion into the deposited oxide layer.}, number={9}, journal={JOURNAL OF APPLIED PHYSICS}, author={Niu, D and Ashcraft, RW and Kelly, MJ and Chambers, JJ and Klein, TM and Parsons, GN}, year={2002}, month={May}, pages={6173–6180} }
@inbook{niu_ashcraft_stemmer_parsons_huff_fabry_kishino_2002, title={Interface reactions during oxygen plasma assisted chemical vapor deposition of yttrium oxide on silicon}, volume={2002}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000180812100038&KeyUID=WOS:000180812100038}, booktitle={Semiconductor Silicon 2002, Vols 1 and 2}, author={Niu, D and Ashcraft, RW and Stemmer, S and Parsons, GN and Huff, HR and Fabry, L and Kishino, S}, year={2002}, pages={429–439} }
@article{misra_lucovsky_parsons_2002, title={Issues in high-kappa gate stack interfaces}, volume={27}, ISSN={["1938-1425"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000174442100022&KeyUID=WOS:000174442100022}, DOI={10.1557/mrs2002.73}, abstractNote={AbstractWe address current challenges in the fundamental understanding of physical and chemical processes that occur in the fabrication of the transistor gate stack structure. Critical areas include (1) the interface between bulk silicon and high-dielectric-constant (high-ĸ) insulators, (2) the interface between high-ĸ insulators and advanced gate electrodes, and (3) the internal interfaces that form within dielectric stacks with nonuniform material and structure compositions. We approach this topic from a fundamental understanding of bonding and electronic structure at the interfaces, and of film-growth kinetics in comparison with thermodynamics predictions. Implications for the dielectric/electrode interface with metallic gates and issues with integration will also be presented.}, number={3}, journal={MRS BULLETIN}, author={Misra, V and Lucovsky, G and Parsons, GN}, year={2002}, month={Mar}, pages={212–216} }
@article{stemmer_klenov_chen_niu_ashcraft_parsons_2002, title={Reactions of Y2O3 films with (001) Si substrates and with polycrystalline Si capping layers}, volume={81}, ISSN={["1077-3118"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000176871600048&KeyUID=WOS:000176871600048}, DOI={10.1063/1.1496500}, abstractNote={We use electron energy-loss spectroscopy in scanning transmission electron microscopy to investigate interfacial reactions of chemical vapor deposited Y2O3 films with the Si substrate and with in situ polycrystalline Si (“poly-Si”) capping layers after postdeposition annealing. We find that in situ capping layers significantly reduce the formation of SiO2 at the interface with the substrate, but silicates form at the substrate and the capping layer interfaces. Predeposition nitridation of the Si surface can impede the reaction at the substrate interface, resulting in crystallization of Y2O3 in the film interior. Possible mechanisms of the silicate formation are discussed.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={Stemmer, S and Klenov, DO and Chen, ZQ and Niu, D and Ashcraft, RW and Parsons, GN}, year={2002}, month={Jul}, pages={712–714} }
@article{gougousi_kelly_parsons_2002, title={The role of the OH species in high-k/polycrystalline silicon gate electrode interface reactions}, volume={80}, ISSN={["1077-3118"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000175904600044&KeyUID=WOS:000175904600044}, DOI={10.1063/1.1485122}, abstractNote={In this letter, reactions occurring at the interface between polycrystalline silicon (poly-Si) and LaSiOx high-dielectric-constant (high-k) insulating layers are characterized using x-ray photoelectron spectroscopy. Dielectrics were formed by sputter deposition of metal on silicon, followed by oxidation at 900 °C. Amorphous silicon was deposited on top by plasma-enhanced chemical vapor deposition from silane, followed by anneal at 650–1050 °C. We show that if the dielectric layer is exposed to sufficient water vapor before polysilicon deposition, annealing at 1050 °C for 10 s is sufficient to completely oxidize ∼25 Å of deposited silicon. Minimal reaction is observed without deliberate water exposure. This demonstrates the importance of the dielectric surface condition in determining reactivity of high-k/polysilicon interfaces.}, number={23}, journal={APPLIED PHYSICS LETTERS}, author={Gougousi, T and Kelly, MJ and Parsons, GN}, year={2002}, month={Jun}, pages={4419–4421} }
@article{niu_ashcraft_parsons_2002, title={Water absorption and interface reactivity of yttrium oxide gate dielectrics on silicon}, volume={80}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000175464100037&KeyUID=WOS:000175464100037}, DOI={10.1063/1.1477268}, abstractNote={High dielectric constant insulators deposited at low temperatures rapidly absorb water during exposure to the atmosphere, and the resulting OH leads to detrimental interface reactions. We report the effect of atmospheric exposure on ultrathin yttrium oxide, and details of silicon substrate reactions during postdeposition anneals. Infrared absorption analysis indicates significant absorption of water vapor during atmospheric exposure, even for very short times (<15 min). X-ray photoelectron spectroscopy demonstrates that after OH absorption, a thermally activated interface reaction proceeds with an activation energy of 0.33 eV, consistent with substrate reaction with OH present in the film. The OH absorption rate is reduced for annealed films or when capping layers are deposited in situ. Similar oxidation processes are expected to occur in other high-k materials of interest, where the rate of OH absorption will depend on the deposition process and material thermal history.}, number={19}, journal={APPLIED PHYSICS LETTERS}, author={Niu, D and Ashcraft, RW and Parsons, GN}, year={2002}, month={May}, pages={3575–3577} }
@article{chambers_busch_schulte_gustafsson_garfunkel_wang_maher_klein_parsons_2001, title={Effects of surface pretreatments on interface structure during formation of ultra-thin yttrium silicate dielectric films on silicon}, volume={181}, ISSN={["0169-4332"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000171063300009&KeyUID=WOS:000171063300009}, DOI={10.1016/S0169-4332(01)00373-7}, abstractNote={X-ray photoelectron spectroscopy (XPS) and medium energy ion scattering (MEIS) are used to determine chemical bonding and composition of ultra-thin films of mixed yttrium, silicon, and oxygen, formed by oxidation of metal on clean and pre-treated silicon. XPS and MEIS analyses indicate that oxidation of yttrium on bare silicon results in a fully oxidized film with a significant fraction of Y–O–Si bonding. The mixed Y–O–Si structure results from the relatively rapid reaction between Y and the Si substrate to form yttrium silicide, followed by oxidation. The effect of various silicon pretreatments, including in situ oxidation and nitridation, on bulk and interface film composition are also examined. Transmission electron microscopy (TEM) of 40 Å thick films indicates that the yttrium silicate films are amorphous with uniform contrast throughout the layer. MEIS shows evidence for a graded metal concentration in the dielectric near the silicon interface, with uniform oxygen concentration (consistent with full oxidation) throughout the film. Angle resolved XPS (ARXPS) shows no significant signal related to Si+4, as would be expected from a substantial SiO2 interface layer. Capacitance–voltage analysis demonstrates that a ∼10 Å equivalent oxide thickness can be achieved. The effects of ultra-thin silicon oxide, nitrided-oxide and nitrided silicon interfaces on silicon consumption during the oxidation of yttrium are investigated. When yttrium is deposited on a thin (∼10 Å) SiO2 film and oxidized, a yttrium silicate film is formed with bonding and composition similar to films formed on bare silicon. However, when the interface is a thin nitride, the silicon consumption rate is significantly reduced, and the resulting film composition is closer to Y2O3. The consumption of the silicon substrate by metal is shown to occur during oxidation and during vacuum annealing of yttrium on silicon. The relatively rapid formation of metal–silicon bonds suggests that metal–silicon structures may also be important reactive intermediates in silicon/dielectric interface formation reactions during chemical vapor deposition. In addition to thermodynamic stability, understanding the relative rates of elementary reaction steps in film formation is critical to control composition and structure at the dielectric/Si interface.}, number={1-2}, journal={APPLIED SURFACE SCIENCE}, author={Chambers, JJ and Busch, BW and Schulte, WH and Gustafsson, T and Garfunkel, E and Wang, S and Maher, DM and Klein, TM and Parsons, GN}, year={2001}, month={Sep}, pages={78–93} }
@article{chambers_parsons_2001, title={Physical and electrical characterization of ultrathin yttrium silicate insulators on silicon}, volume={90}, ISSN={["0021-8979"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000169660000057&KeyUID=WOS:000169660000057}, DOI={10.1063/1.1375018}, abstractNote={This article describes the oxidation of yttrium on silicon to form yttrium silicate films for application as high dielectric constant insulators. The high reactivity of yttrium metal with silicon and oxygen is utilized to form amorphous yttrium silicate films with a minimal interfacial silicon dioxide layer. Yttrium silicate films (∼40 Å) with an equivalent silicon dioxide thickness of ∼11 Å and k∼14 are formed by oxidizing yttrium on silicon. The physical properties of yttrium silicate films on silicon are investigated using x-ray photoelectron spectroscopy and Fourier transform infrared spectroscopy. The oxidation of yttrium silicide results in films nearly identical, although with a higher silicon fraction, to films formed by oxidation of yttrium on silicon. The oxidation of yttrium on silicon results in a competition for yttrium between silicide formation and oxidation. This competition yields yttrium silicate films for thin (<40 Å) initial metal thickness and a Y2O3/silicate bilayer for thick (>80 Å) initial metal thickness. Annealing yttrium films on silicon in vacuum to form yttrium silicide and then oxidizing the silicide is used to eliminate the competition and control the yttrium/silicon reaction. Analysis of the oxidation of yttrium on silicon reveals fast oxidation during silicate formation and a slow rate during oxidation of the silicon substrate to form SiO2. Oxidation of other metals, such as Hf, Zr, and La, on silicon is expected to result in metal silicate films through a similar simultaneous (or controlled sequential) silicide/oxidation reactions.}, number={2}, journal={JOURNAL OF APPLIED PHYSICS}, author={Chambers, JJ and Parsons, GN}, year={2001}, month={Jul}, pages={918–933} }
@article{bray_parsons_2002, title={Surface transport kinetics in low-temperature silicon deposition determined from topography evolution}, volume={65}, ISSN={["2469-9969"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000173448900070&KeyUID=WOS:000173448900070}, DOI={10.1103/physrevb.65.035311}, abstractNote={In this article, surface transport kinetics during low-temperature silicon thin film deposition are characterized using time dependent surface topography and dynamic scaling models. Analysis of surface morphology indicates that diffusion of adsorbed species dominates surface transport, with a characteristic diffusion length that increases with surface temperature. A diffusion activation barrier of ∼0.2 eV is obtained, consistent with hydrogen-mediated adspecies diffusion on the growth silicon surface. Samples are compared over a range of deposition temperatures (25 to 350°C) and film thickness (20 to 5000 A) deposited using silane with helium or argon dilution, on glass and silicon substrates. Self-similar surface structure is found to depend on detailed film growth conditions, but is independent of film thickness after nuclei coalescence. For films deposited using helium dilution, static and dynamic scaling parameters are consistent with self-similar fractal geometry scaling, and the lateral correlation length increases from 45 to 150 nm as temperature increases from 25 to 150 °C. These results are discussed in relation to current silicon deposition models and with topography evolution observed during low temperature growth of other amorphous material systems.}, number={3}, journal={PHYSICAL REVIEW B}, author={Bray, KR and Parsons, GN}, year={2002}, month={Jan} }
@article{gupta_parsons_2000, title={Bond strain, chemical induction, and OH incorporation in low-temperature (350-100 degrees C) plasma deposited silicon dioxide films}, volume={18}, ISSN={["1071-1023"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000087654200131&KeyUID=WOS:000087654200131}, DOI={10.1116/1.591468}, abstractNote={New device concepts are being considered with very demanding requirements for low-temperature processing. In this article, infrared transmission and ellipsometry is used to compare silicon oxide films formed by plasma chemical vapor deposition using SiH4, N2O, and either He or H2 dilution gas between 350 and 100 °C. The Si–O asymmetric stretching mode is affected by bond strain and chemical induction, and monitoring the Si–O peak position gives insight into the effect of process conditions on local bond structure. Hydrogen is expected to affect surface processes during growth, for instance, to enable the removal of surface SiOH bonds through H-mediated abstraction, leading to improved bonding structure at low temperature. We find that exposing the surface to hydrogen atoms during growth helps eliminate isolated SiOH bonds, leading to Si–Si bond formation. However, an increase in associated SiOH bonding groups, stabilized by hydrogen bonding, is also observed. The density of associated SiOH groups is larger at low temperature where the rate of water desorption is reduced, suggesting that the associated OH is formed by physisorbed water produced during OH removal. Films deposited with hydrogen dilution show somewhat improved electrical performance at <200 °C, but further work is required to produce high quality films at very low temperatures.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Gupta, A and Parsons, GN}, year={2000}, pages={1764–1769} }
@article{yang_smith_arthur_parsons_2000, title={Stability of low-temperature amorphous silicon thin film transistors formed on glass and transparent plastic substrates}, volume={18}, ISSN={["1071-1023"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000086587000015&KeyUID=WOS:000086587000015}, DOI={10.1116/1.591259}, abstractNote={This article describes the formation of amorphous silicon thin film transistors (TFTs) on glass and flexible transparent plastic substrates using rf plasma enhanced chemical vapor deposition and a maximum processing temperature of 110 °C. Silane diluted with hydrogen was used for the preparation of the amorphous silicon, and SiH4/NH3/N2 or SiH4/NH3/N2/H2 mixtures were used for the deposition of the silicon nitride gate dielectric. The amorphous silicon nitride layers were characterized by transmission infrared spectroscopy and current-voltage measurements; the plastic substrates were 10 mil thick (0.25 mm) polyethylene terephthalate sheets. Transistors formed using the same process on glass and plastic showed linear mobilities ranging from 0.1 to 0.5 cm2/V s with ION/IOFF ratios⩾107. To characterize the stability of the transistors on glass, n- and p-channel transconductances were measured before and after bias stressing. Devices formed at 110 °C show evidence of charge trapping near the a-Si/SiNx interface and the creation of dangling-bond defects. The defect dynamics are consistent with the defect pool model. Under +10 and +25 V bias stress, the rates of creation of low energy defects are only moderately larger than those for high temperature devices; the devices show markedly higher rates of defect creation under higher positive bias. Current-voltage analysis of low temperature dielectrics shows very low leakage, but positive bias stress shows a significantly higher electron trapping rate near the a-Si:H/SiNx interface, indicating problems with low temperature dielectric formation. The magnitude of the rates of defect creation and trapping in these nonoptimized devices suggests that amorphous silicon TFTs with stability approaching that of typical large area active matrix electronic devices could be formed at low temperatures compatible with transparent flexible polymeric substrates.}, number={2}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Yang, CS and Smith, LL and Arthur, CB and Parsons, GN}, year={2000}, pages={683–689} }
@article{parsons_2000, title={Surface reactions in very low temperature (< 150 degrees C) hydrogenated amorphous silicon deposition, and applications to thin film transistors}, volume={266}, ISSN={["0022-3093"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000087189800003&KeyUID=WOS:000087189800003}, DOI={10.1016/s0022-3093(99)00713-9}, abstractNote={This article discusses surface processes to control bonded hydrogen content in hydrogenated amorphous silicon (a-Si:H) deposited by plasma enhanced chemical vapor deposition. Experiments with helium diluted silane under typical plasma deposition conditions show that ion bombardment can be used to control Si–H bond concentrations at very low temperature through ion-enhanced silicon–hydrogen disproportionation reactions. Hydrogen dilution can also be used to control Si–H concentrations, where important reactions include H abstraction by H and by SiH3 radicals, and H insertion into strained Si–Si bonds leading to silicon etching. Experimental results are supported by calculations of reaction energetics, including overall reaction enthalpies and kinetic barrier heights. Amorphous silicon thin film transistors fabricated at a maximum processing temperature of 110°C have performance (on/off ratio, mobility, and stability under applied stress) approaching that of typical higher temperature devices.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Parsons, GN}, year={2000}, month={May}, pages={23–30} }
@article{chambers_parsons_2000, title={Yttrium silicate formation on silicon: Effect of silicon preoxidation and nitridation on interface reaction kinetics}, volume={77}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000089639000039&KeyUID=WOS:000089639000039}, DOI={10.1063/1.1316073}, abstractNote={The effects of oxygen and nitrogen pretreatments on interface reaction kinetics during yttrium silicate formation on silicon are described. X-ray photoelectron spectroscopy (XPS) and medium energy ion scattering (MEIS) are used to determine chemical bonding and composition of films formed by oxidation of yttrium deposited on silicon. Capacitance–voltage testing is used to determine the quality of the dielectric and the electrical thickness. The effect of ultrathin silicon oxide, nitrided oxide, and nitrided silicon interfaces on metal oxidation kinetics is also described. When yttrium is deposited on clean silicon and oxidized, XPS and MEIS indicate significant mixing of the metal and the silicon, resulting in a film with Y–O–Si bonding and composition close to yttrium orthosilicate (Y2O3⋅SiO2). A thin (∼10 Å) in situ preoxidation step is not sufficient to impede the metal/silicon reaction, whereas a nitrided silicon interface significantly reduces the silicon consumption rate, and the resulting film is close to Y2O3. The mechanisms described for yttrium are expected to occur in a variety of oxide and silicate deposition processes of interest for high-k dielectrics. Therefore, in addition to thermodynamic stability, understanding the relative rates of elementary reaction steps in film formation is critical to control composition and structure at the dielectric/Si interface.}, number={15}, journal={APPLIED PHYSICS LETTERS}, author={Chambers, JJ and Parsons, GN}, year={2000}, month={Oct}, pages={2385–2387} }
@article{klein_niu_epling_li_maher_hobbs_hegde_baumvol_parsons_1999, title={Evidence of aluminum silicate formation during chemical vapor deposition of amorphous Al2O3 thin films on Si(100)}, volume={75}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000084242700033&KeyUID=WOS:000084242700033}, DOI={10.1063/1.125519}, abstractNote={Using narrow nuclear reaction resonance profiling, aluminum profiles are obtained in ∼3.5 nm Al2O3 films deposited by low temperature (<400 °C) chemical vapor deposition on Si(100). Narrow nuclear resonance and Auger depth profiles show similar Al profiles for thicker (∼18 nm) films. The Al profile obtained on the thin film is consistent with a thin aluminum silicate layer, consisting of Al–O–Si bond units, between the silicon and Al2O3 layer. Transmission electron microscopy shows evidence for a two-layer structure in Si/Al2O3/Al stacks, and x-ray photoelectron spectroscopy shows a peak in the Si 2p region near 102 eV, consistent with Al–O–Si units. The silicate layer is speculated to result from reactions between silicon and hydroxyl groups formed on the surface during oxidation of the adsorbed precursor.}, number={25}, journal={APPLIED PHYSICS LETTERS}, author={Klein, TM and Niu, D and Epling, WS and Li, W and Maher, DM and Hobbs, CC and Hegde, RI and Baumvol, IJR and Parsons, GN}, year={1999}, month={Dec}, pages={4001–4003} }
@article{klein_anderson_chowdhury_parsons_1999, title={Hydrogenated silicon nitride thin films deposited between 50 and 250 degrees C using nitrogen/silane mixtures with helium dilution}, volume={17}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000078136300017&KeyUID=WOS:000078136300017}, DOI={10.1116/1.582104}, abstractNote={Silicon nitride thin films, deposited by plasma enhanced chemical vapor deposition at temperatures between 250 and 50 °C from SiH4, N2 and He, were characterized using transmission infrared spectroscopy, ellipsometry, wet etch rate, and current-voltage analysis. At 250 °C using SiH4/N2/He flow ratios of 1/150/75, films with refractive index=1.80 and H concentrations <20%, distributed equally in Si-H and N-H units were obtained. The concentration of hydrogen and its distribution in N-H and Si-H bonds are sensitive to process temperature, suggesting that thermally driven N incorporation reactions are important during growth. Inert gas dilution allows films to be formed at <100 °C, with bonded hydrogen configurations similar to films deposited at higher temperatures. Current versus voltage traces of as-deposited films show charge trapping, which can be reduced by extended low temperature anneals. These results show that chemical composition can be controlled in low temperature silicon nitride deposition. This processing approach may be useful for encapsulation or for barrier layer formation on low temperature organic electronic devices or flexible transparent plastic substrates.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Klein, TM and Anderson, TM and Chowdhury, AI and Parsons, GN}, year={1999}, pages={108–112} }
@article{klein_anderson_chowdhury_parsons_1999, title={Plasma enhanced chemical vapor deposited silicon nitride thin films deposited at very low temperatures for thin film transistors on plastic substrates"}, volume={A}, number={17}, journal={Journal of Vacuum Science & Technology}, author={Klein, T.M. and Anderson, T.M. and Chowdhury, A.I. and Parsons, G.N.}, year={1999}, pages={108–112} }
@article{chambers_min_parsons_1998, title={Endpoint uniformity sensing and analysis in silicon dioxide plasma etching using in situ mass spectrometry}, volume={16}, ISSN={["1071-1023"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000077542300014&KeyUID=WOS:000077542300014}, DOI={10.1116/1.590332}, abstractNote={Mass spectroscopy is used to characterize the endpoint uniformity of silicon dioxide etching in an electron cyclotron resonance (ECR) plasma etch process. Etch products are observed using a two stage differentially pumped mass spectrometry system attached to the ECR process chamber. Specifically, using CF4 and D2 etch gases, the partial pressure of CO-containing etch products decays near the endpoint, and the rate of signal decay is directly correlated with the uniformity determined from optical interferometry thickness measurements. To correlate the mass spectrometer signal with the etch rate variation across the wafer, etch uniformity is altered by changing the ECR electromagnet geometry and by modifying the initial oxide uniformity. A COF2 etch product material balance is developed to model the observed concentration versus time data, resulting in a quantitative correlation between change in endpoint slope and uniformity. The ability to utilize a process-state sensor, such as a mass spectrometer, for wafer-state information will result in new approaches for sensing, optimizing, and controlling integrated circuit fabrication processes.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Chambers, JJ and Min, K and Parsons, GN}, year={1998}, pages={2996–3002} }
@inbook{fryer_colgan_galligan_graham_horton_jenkins_john_kuo_latzko_libsch_et al._1998, title={High conductivity gate metallurgy for TFT/LCD's}, volume={508}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000077248500006&KeyUID=WOS:000077248500006}, booktitle={Flat-Panel Display Materials-1998}, author={Fryer, PM and Colgan, E and Galligan, E and Graham, W and Horton, R and Jenkins, L and John, R and Kuo, Y and Latzko, K and Libsch, F and et al.}, year={1998}, pages={37–46} }
@article{srinivasan_parsons_1998, title={Hydrogen abstraction kinetics and crystallization in low temperature plasma deposition of silicon}, volume={72}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000071619600022&KeyUID=WOS:000071619600022}, DOI={10.1063/1.120785}, abstractNote={Exposing a plasma deposited hydrogenated silicon layer to atomic hydrogen results in hydrogen removal from the silicon/hydrogen surface and a net reduction in the total hydrogen content in the layer. For deposition at low temperature, the crystallization fraction corresponds directly with the extent of hydrogen removal. Silicon films deposited using alternating deposition and hydrogen (or deuterium) plasma exposure are characterized by transmission infrared spectroscopy and Raman spectroscopy. Using mass spectroscopy, hydrogen abstraction and etching are observed and identified as important pathways for hydrogen removal at substrate temperatures between 25 °C and 300 °C. Moreover, the hydrogen abstraction kinetics show that the reaction is first order with an activation barrier of −0.4±1 kcal/mol, consistent with a spontaneous Eley–Rideal abstraction process. Energy barrier values are supported by ab initio calculations.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={Srinivasan, E and Parsons, GN}, year={1998}, month={Jan}, pages={456–458} }
@article{chambers_min_parsons_1998, title={In-situ mass spectrometry for real-time uniformity sensing in ECR silicon dioxide etching}, volume={B}, number={16}, journal={Journal of Vacuum Science & Technology}, author={Chambers, J.J. and Min, K. and Parsons, G.N.}, year={1998}, pages={2996–3002} }
@article{smith_read_yang_srinivasan_courtney_lamb_parsons_1998, title={Plasma enhanced selective area microcrystalline silicon deposition on hydrogenated amorphous silicon: Surface modification for controlled nucleation}, volume={16}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000074150400079&KeyUID=WOS:000074150400079}, DOI={10.1116/1.581144}, abstractNote={Selective deposition of μc-Si on hydrogenated amorphous silicon is demonstrated using time-modulated silane reactant flow in a low temperature plasma enhanced process. Alternating cycles of thin silicon layer deposition and atomic hydrogen exposure result in silicon layers on receptive surfaces, with no net deposition on nonreceptive areas of the substrate. Selective deposition could be useful to form self-aligned contacts in hydrogenated amorphous silicon (a-Si:H transistor applications. However, a problem commonly observed in low temperature selective deposition is that the selective process tends to etch amorphous silicon, harming the devices. We describe a technique involving Mo metallization that stabilizes the a-Si:H surface with respect to hydrogen plasma exposure and allows selective μc-Si deposition on a-Si:H in device structures, while avoiding deposition on the top SiNx insulator material. Surfaces and subsequent selective nucleation and growth were characterized using atomic force microscopy, x-ray photoelectron spectroscopy, and Auger electron spectroscopy, which revealed the presence of Mo incorporation in the a-Si:H surface remaining after complete removal of the metal layer. A direct comparison of selective deposition experiments on films prepared with and without Mo treatment demonstrate that the metallization stabilizes nucleation of microcrystalline silicon on amorphous silicon surfaces.}, number={3}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={Smith, LL and Read, WW and Yang, CS and Srinivasan, E and Courtney, CH and Lamb, HH and Parsons, Gregory}, year={1998}, pages={1316–1320} }
@article{parsons_yang_klein_smith_schropp_branz_hack_shimizu_wagner_1998, title={Reaction processes for low temperature (< 150 degrees C) plasma enhanced deposition of hydrogenated amorphous silicon thin film transistors on transparent plastic substrates}, volume={507}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000079335700003&KeyUID=WOS:000079335700003}, journal={Amorphous and Microcrystalline Silicon Technology-1998}, author={Parsons, GN and Yang, CS and Klein, TM and Smith, L and Schropp, R and Branz, HM and Hack, M and Shimizu, I and Wagner, S}, year={1998}, pages={19–24} }
@inbook{parsons_yang_klein_smith_tsai_fahlen_seager_1998, title={Reaction processes for low temperature (< 150 degrees C) plasma enhanced deposition of hydrogenated amorphous silicon thin film transistors on transparent plastic substrates}, volume={508}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000077248500003&KeyUID=WOS:000077248500003}, booktitle={Flat-Panel Display Materials-1998}, author={Parsons, GN and Yang, CS and Klein, TM and Smith, L and Tsai, CC and Fahlen, TS and Seager, CH}, year={1998}, pages={19–24} }
@article{yang_read_arthur_srinivasan_parsons_1998, title={Self-aligned gate and source drain contacts in inverted-staggered a-Si : H thin-film transistors fabricated using selective area silicon PECVD}, volume={19}, ISSN={["0741-3106"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000073727100002&KeyUID=WOS:000073727100002}, DOI={10.1109/55.678536}, abstractNote={This article demonstrates full self-aligned inverted-staggered amorphous silicon thin-film transistors (TFT's) fabricated using selective plasma deposition of doped microcrystalline silicon source/drain contacts. Back-side exposure, using the bottom metal gate as the mask, produced the self-aligned contact openings. Selective deposition of the n+ silicon contact layer assures self-aligned ion resistance contacts and eliminates the need for reactive ion etching of the n+ silicon. Complete TFT fabrication requires no critical alignment steps. Transistors have linear mobility between 0.6 and 1.1 cm/sup 2//Vs, threshold voltage of 3.0 V, and sub-threshold slope of 0.35 V/decade. The OFF current is <10/sup -11/ A with -10 V gate voltage and 10 V between the source and drain, and ON/OFF ratios exceed 10.}, number={6}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Yang, CS and Read, WW and Arthur, C and Srinivasan, E and Parsons, GN}, year={1998}, month={Jun}, pages={180–182} }
@article{chowdhury_klein_anderson_parsons_1998, title={Silane consumption and conversion analysis in amorphous silicon and silicon nitride plasma deposition using in situ mass spectroscopy}, volume={16}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000074150500084&KeyUID=WOS:000074150500084}, DOI={10.1116/1.581117}, abstractNote={In situ mass spectroscopy is used to sense plasma deposition of silicon and silicon nitride, to analyze gas phase reactant depletion, and the efficiency of silane conversion into the thin film. A double-differentially pumped quadrupole mass spectrometer was used to monitor the SiH4, Si2H6, and H2 effluent from 100% SiH4 and 2% SiH4/He silicon deposition, and SiH4/He/N2 silicon nitride deposition processes. No significant changes in gas phase nitrogen related species were observed during nitride deposition. However, the Si species show significant process dependence allowing reaction analysis. Disilane species were produced at low powers in the SiH4/He/N2 process, but no amino–silane species were observed. The silane consumption and silicon incorporation efficiency are shown to depend on gas dilution, residence time, and reactor geometry.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Chowdhury, AI and Klein, TM and Anderson, TM and Parsons, GN}, year={1998}, pages={1852–1856} }
@inbook{allieri_depero_sangaletti_antonini_bettinelli_parsons_tsai_fahlen_seager_1998, title={Synthesis and characterization of luminescent ZnO powders produced by thermally-induced doping}, volume={508}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000077248500042&KeyUID=WOS:000077248500042}, booktitle={Flat-Panel Display Materials-1998}, author={Allieri, B and Depero, LE and Sangaletti, L and Antonini, L and Bettinelli, M and Parsons, GN and Tsai, CC and Fahlen, TS and Seager, CH}, year={1998}, pages={275–280} }
@inbook{choi_lee_jang_ahn_parsons_tsai_fahlen_seager_1998, title={Thin film transistors fabricated with poly-Si films crystallized by microwave annealing}, volume={508}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000077248500021&KeyUID=WOS:000077248500021}, booktitle={Flat-Panel Display Materials-1998}, author={Choi, YW and Lee, JN and Jang, TW and Ahn, B and Parsons, GN and Tsai, CC and Fahlen, TS and Seager, CH}, year={1998}, pages={139–144} }
@inbook{yang_read_arthur_parsons_fulks_slobodin_yuzuriha_1997, title={Comparison of conventional and self-aligned a-Si:H thin film transistors}, volume={471}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1997BJ69K00027&KeyUID=WOS:A1997BJ69K00027}, booktitle={Flat Panel Display Materials Iii}, author={Yang, CS and Read, WW and Arthur, CB and Parsons, GN and Fulks, RT and Slobodin, DE and Yuzuriha, TH}, year={1997}, pages={179–184} }
@article{srinivasan_lloyd_parsons_1997, title={Dominant monohydride bonding in hydrogenated amorphous silicon thin films formed by plasma enhanced chemical vapor deposition at room temperature}, volume={15}, ISSN={["0734-2101"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1997WD69000015&KeyUID=WOS:A1997WD69000015}, DOI={10.1116/1.580480}, abstractNote={Hydrogenated amorphous silicon (a-Si:H) prepared by plasma enhanced chemical vapor deposition (PECVD) with silane, typically shows significant dihydride bonding and high defect density when the substrate temperature is less than 200 °C. Monohydride bonding is associated with low defect densities, and is usually observed only above 250 °C. Using rf (13.56 MHz) PECVD, we have deposited a-Si:H films at a substrate temperature of 35 °C using silane diluted with helium, and found that films with dominant monohydride bonding can be deposited without significant substrate heating. A specific ion enhanced reaction mechanism that is consistent with the results is proposed. As deposited, the films with predominant monohydride bonding show low dark conductivity (10−9 S/cm) and low photoconductivity (10−7 S/cm under 100 mW/cm2 while light illumination). Annealing the films for 3–4 h at 150 °C, resulted in an improved photoconductivity with photo to dark conductivity ratio near 105. These films may be valuable for the fabrication of thin film electronics on novel substrates compatible with only low temperature processes.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Srinivasan, E and Lloyd, DA and Parsons, GN}, year={1997}, pages={77–84} }
@article{srinivasan_parsons_1997, title={Hydrogen elimination and phase transitions in pulsed-gas plasma deposition of amorphous and microcrystalline silicon}, volume={81}, ISSN={["0021-8979"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1997WP16700065&KeyUID=WOS:A1997WP16700065}, DOI={10.1063/1.364309}, abstractNote={Removal of hydrogen from the growth surface during silane plasma deposition of silicon is correlated with the transition from amorphous to microcrystalline film structure. Plasma deposition experiments were performed using a pulsed gas technique, where repeated steps of thin amorphous silicon film deposition, and atomic hydrogen (or deuterium) exposure are used to form microcrystalline and polycrystalline thin films at substrate temperatures below 250 °C. Infrared absorption and Raman spectroscopy are used to estimate the silicon-hydrogen bonding concentrations, and characterize crystal structure, respectively. Hydrogen elimination probed using real-time differentially pumped mass spectroscopy demonstrates that during atomic deuterium exposure, hydrogen abstraction by deuterium, rather than silicon etching, is the primary mechanism for hydrogen removal from the depositing surface. Polycrystalline material, with no shoulder at 480 cm−1 in the Raman spectrum, and grain sizes greater than 1000 Å, as determined by transmission electron microscopy, have been formed at temperatures below 250 °C. The amorphous to crystal transition is observed at substrate temperatures as low as 25 °C, with longer hydrogen exposure required at lower temperatures. Hydrogen is shown to be preferentially abstracted from monohydride (Si–H) units as compared to dihydride (SiH2) units at or near the depositing growth surface, consistent with ab initio energy calculations of hydrogen interactions with silicon hydrides. A transition in hydrogen removal kinetics is observed upon film crystallization, where the rate of hydrogen removal is reduced for more crystalline materials. These results are valuable for understanding surface reactions in low temperature crystalline silicon deposition, for example, for fabrication of high mobility thin film transistor structures on glass.}, number={6}, journal={JOURNAL OF APPLIED PHYSICS}, author={Srinivasan, E and Parsons, GN}, year={1997}, month={Mar}, pages={2847–2855} }
@article{smith_srinivasan_parsons_1997, title={Investigation of substrate dependent nucleation of plasma-deposited microcrystalline silicon on glass and silicon substrates using atomic force microscopy}, volume={82}, ISSN={["0021-8979"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000071043400022&KeyUID=WOS:000071043400022}, DOI={10.1063/1.366471}, abstractNote={In order to define mechanisms for pulsed-gas plasma enhanced substrate-selective deposition of silicon, the initial stages of microcrystalline silicon (μc-Si) growth by plasma enhanced chemical vapor deposition on both c-Si and glass substrates were investigated by means of atomic force microscopy (AFM) and reflective high energy electron diffraction (RHEED). Differences in initial substrate morphology were reflected in significant differences in film surface morphology in the early stages of growth. AFM images and rms roughness measurements indicated that the initial growth on the c-Si substrate was three dimensional in character. On glass, the initial Si deposits were much more irregular in shape and the tallest features extended over wider areas than the initial deposits on c-Si. The character of the initial growth on glass was partly obscured by the roughness of the substrate, but the appearance of the initial Si deposits suggested a flatter and more two-dimensional character on glass than on c-Si. As the Si deposition progressed, the films on the different substrates developed similar morphology with increasing thickness. On the c-Si substrate, surface coverage was nearly complete at 50 Å. RHEED analysis of the films grown on c-Si revealed the presence of amorphous structure in the early stages of film growth, which began to transition to a randomly oriented μc-Si structure after 40–50 Å of growth. Observed differences in nucleation affirm and clarify proposed mechanisms and limitations for plasma enhanced selective μc-Si deposition.}, number={12}, journal={JOURNAL OF APPLIED PHYSICS}, author={Smith, LL and Srinivasan, E and Parsons, GN}, year={1997}, month={Dec}, pages={6041–6046} }
@inbook{srinivasan_parsons_wagner_hack_schiff_schropp_shimizu_1997, title={Real-time kinetic analysis of hydrogen abstraction and etching reactions using pulsed-gas PECVD of amorphous and microcrystalline silicon}, volume={467}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000071462000075&KeyUID=WOS:000071462000075}, booktitle={Amorphous and Microcrystalline Silicon Technology - 1997}, author={Srinivasan, E and Parsons, GN and Wagner, S and Hack, M and Schiff, EA and Schropp, R and Shimizu, I}, year={1997}, pages={501–506} }
@article{chowdhury_read_rubloff_tedder_parsons_1997, title={Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry}, volume={15}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1997WH68800019&KeyUID=WOS:A1997WH68800019}, DOI={10.1116/1.589237}, abstractNote={We have used mass spectroscopy to observe and analyze, in real-time, gas phase reactants and product species in plasma enhanced chemical vapor deposition (PECVD) of silicon. We describe a doubly differentially pumped mass spectrometry system to sample the exhaust stream of a large area plasma CVD reactor operating at 0.4–1.5 Torr. We show real-time quantitative analysis of silane consumption and hydrogen production for deposition of hydrogenated amorphous silicon and for pulsed-gas selective area silicon deposition. The ability of mass spectrometry to observe process faults in real time is also demonstrated. Mass spectroscopy is a useful nonintrusive process-state sensor for real-time metrology of plasma deposition, for example, to quantify gas phase species, and to characterize reactions occurring on the substrate surface. Based on our results, we discuss potential advanced manufacturing applications of real-time mass spectrometry in amorphous silicon and selective area silicon plasma deposition, including indirect wafer-state sensing, fault analysis and classification, and run-to-run and real-time process control.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Chowdhury, AI and Read, WW and Rubloff, GW and Tedder, LL and Parsons, GN}, year={1997}, pages={127–132} }
@article{srinivasan_yang_parsons_1996, title={Ab initio calculation of hydrogen abstraction energetics from silicon hydrides}, volume={105}, ISSN={["0021-9606"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1996VL46900020&KeyUID=WOS:A1996VL46900020}, DOI={10.1063/1.472387}, abstractNote={In this article, we present calculated energies for the abstraction of hydrogen from silicon monohydride and silicon dihydride surface bonding units by atomic hydrogen obtained using ab initio configuration interaction theory. Three and four silicon atom clusters are used to model the dihydride and monohydride units, respectively. Heats of reaction and activation energy barriers are calculated, including the vibrational energies of the initial, final, and transition states. Hydrogen abstraction from a Si–H unit (H+Si4H10→Si4H9+H2) is found to be exothermic by 9.4 kcal/mol with a transition state energy barrier of 5.5 kcal/mol when H approaches along the surface normal. The dihydride abstraction reaction, H+Si3H8→Si3H7+H2, is exothermic by 7.7 kcal/mol and has an energy barrier of 7.3 kcal/mol when H is approaching along Si–H axis. The barrier is larger for hydrogen atom approaching along the surface normal. The larger barrier for abstraction from a dihydride unit is consistent with our experimental observation of a preferential reduction in monohydride bond concentrations when hydrogenated silicon films are exposed to atomic hydrogen during plasma deposition.}, number={13}, journal={JOURNAL OF CHEMICAL PHYSICS}, author={Srinivasan, E and Yang, H and Parsons, GN}, year={1996}, month={Oct}, pages={5467–5471} }
@article{tedder_rubloff_cohaghan_parsons_1996, title={Dynamic rate and thickness metrology during poly-Si rapid thermal chemical vapor deposition from SiH4 using real time in situ mass spectrometry}, volume={14}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1996UA57500001&KeyUID=WOS:A1996UA57500001}, DOI={10.1116/1.579887}, abstractNote={Real-time in situ mass spectrometry has been applied to poly-Si rapid thermal chemical vapor deposition (RTCVD) (from SiH4) on thermally grown SiO2 as a way to determine film thickness at the end of the process and to infer dynamic deposition rate during the process for run-to-run and real-time control applications. Monitoring process ambient at 5 Torr is achieved using two-stage differential pumping of a sampling aperture in the exhaust stream, and a rapid response time (∼1 s for a ∼30 s process cycle) allows for real time sensing of reactant input, product generation, and reactant depletion. Active mass spectrometric sampling of the reaction by-product (H2 generated by SiH4 decomposition) provides a monitor of the total reaction/deposition rate during poly-Si RTCVD in the range 550–850°C. Product generation as a function of temperature is readily distinguished from reactant cracking fragments by spectral analysis. A well-defined monotonic correlation between the time-integrated H+2 product signal and the poly-Si film thickness, determined ex situ by single-point interferometry (Nanometrics), demonstrates that the integrated mass spectrometric signal can provide real-time thickness metrology. In addition, the time-dependence of product and reactant signals provides a real-time indication of detailed equipment behavior during the process.}, number={2}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={Tedder, LL and Rubloff, GW and Cohaghan, BF and Parsons, Gregory}, year={1996}, pages={267–270} }
@article{tedder_rubloff_conaghan_parsons_1996, title={Dynamic rate and thickness metrology during poly-Si rapid thermal chemical vapor deposition from SiH4 using real time in situ mass spectrometry (vol 14, pg 267, 1996)}, volume={14}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1996VA96400112&KeyUID=WOS:A1996VA96400112}, DOI={10.1116/1.580187}, abstractNote={Views Icon Views Article contents Figures & tables Video Audio Supplementary Data Peer Review Share Icon Share Twitter Facebook Reddit LinkedIn Tools Icon Tools Reprints and Permissions Cite Icon Cite Search Site Citation L. L. Tedder, G. W. Rubloff, B. F. Conaghan, G. N. Parsons; Erratum: Dynamic rate and thickness metrology during poly‐Si rapid thermal chemical vapor deposition from SiH4 using real time in situ mass spectrometry [J. Vac. Sci. Technol. A 14, 267 (1996)]. J. Vac. Sci. Technol. A 1 July 1996; 14 (4): 2680. https://doi.org/10.1116/1.580187 Download citation file: Ris (Zotero) Reference Manager EasyBib Bookends Mendeley Papers EndNote RefWorks BibTex toolbar search Search Dropdown Menu toolbar search search input Search input auto suggest filter your search All ContentAVS: Science & Technology of Materials Interfaces and ProcessingJournal of Vacuum Science & Technology A Search Advanced Search |Citation Search}, number={4}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={Tedder, LL and Rubloff, GW and Conaghan, BF and Parsons, GN}, year={1996}, pages={2680} }
@inbook{srinivasan_lloyd_fang_parsons_hack_schiff_wagner_schropp_matsuda_1996, title={Inert gas dilution and ion bombardment effects in room temperature (35 degrees C) plasma deposition of a-Si:H}, volume={420}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1996BH07P00064&KeyUID=WOS:A1996BH07P00064}, booktitle={Amorphous Silicon Technology - 1996}, author={Srinivasan, E and Lloyd, DA and Fang, M and Parsons, GN and Hack, M and Schiff, EA and Wagner, S and Schropp, R and Matsuda, A}, year={1996}, pages={399–404} }
@article{tedder_rubloff_shareef_anderle_kim_parsons_1995, title={REAL-TIME PROCESS AND PRODUCT DIAGNOSTICS IN RAPID THERMAL CHEMICAL-VAPOR-DEPOSITION USING IN-SITU MASS-SPECTROMETRIC SAMPLING}, volume={13}, ISSN={["1071-1023"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1995RP99200089&KeyUID=WOS:A1995RP99200089}, DOI={10.1116/1.588110}, abstractNote={Mass spectrometry has been exploited for rapid real-time sensing of both reactant and product species in single-wafer rapid thermal chemical vapor deposition (RTCVD) of polycrystalline Si from SiH4. Active mass spectrometric sampling at pressures to 5 Torr is achieved using two-stage differential pumping of a sampling aperture in the exhaust stream, leading to response times as short as ∼3 sec to concentration and pressure changes in the reactor during a process carried out in ∼30 sec. In addition to reactant species, gaseous reaction byproducts have been identified and differentiated from cracking fragments of the reactant through relative intensities of mass fragments as a function of wafer temperature (i.e., reaction rate). For RTCVD of poly-Si from SiH4, carried out in the range 450–800 °C at 5 Torr in 10% SiH4/Ar, mass spectra reveal not only the time dependence of reactant (monitored by SiH2+, 30 amu), but also—at higher temperatures—reactant depletion and product generation (from H2+, 2 amu). These results demonstrate a basis for using mass spectrometry in real-time process diagnostics and control.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={TEDDER, LL and RUBLOFF, GW and SHAREEF, I and ANDERLE, M and KIM, DH and PARSONS, GN}, year={1995}, pages={1924–1927} }
@inbook{souk_parsons_schiff_hack_madan_powell_matsuda_1994, title={PROGRESS IN LARGE AREA SELECTIVE SILICON DEPOSITION FOR TFT LCD APPLICATIONS}, volume={336}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1994BC30G00003&KeyUID=WOS:A1994BC30G00003}, booktitle={Amorphous Silicon Technology-1994}, author={SOUK, JH and PARSONS, GN and Schiff, EA and Hack, M and Madan, A and Powell, M and Matsuda, A}, year={1994}, pages={19–24} }
@article{esser_heesel_kurz_wang_parsons_lucovsky_1993, title={FEMTOSECOND SPECTROSCOPIC STUDY OF ULTRAFAST CARRIER RELAXATION IN HYDROGENATED AMORPHOUS-SILICON A-SI-H}, volume={73}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1993KK92100034&KeyUID=WOS:A1993KK92100034}, DOI={10.1063/1.353263}, abstractNote={We present a study of spectral- and time-resolved reflectivity and transmission measurements on hydrogenated amorphous silicon (a-Si:H) with 50 fs time resolution. Electron-hole pairs are photoexcited into the extended states of a-Si:H by an ultrashort pump pulse at ℏω=2 eV. The temporal evolution of the photoinduced optical response is studied for a broad range of excitation densities from 1018 up to 1020 cm−3, and on a timescale of up to 200 ps. The temporal evolution of the optical response is discussed in terms of recombination and trapping mechanisms for carriers in the extended states of a-Si:H.}, number={3}, journal={Journal of Applied Physics}, author={ESSER, A and HEESEL, H and KURZ, H and WANG, C and PARSONS, GN and LUCOVSKY, G}, year={1993}, pages={1235–1239} }
@article{esser_h._h._c._g.n._lucovsky_1993, title={Femtosecond spectroscopic study of ultrafast carrier relaxation in hydrogenated amorphous silicon a-Si:H}, volume={73}, journal={Journal of Applied Physics}, author={Esser, A. Heesel and H., Kurz and H., Wang and C., Parsons and G.N. and Lucovsky, G.}, year={1993}, pages={1235} }
@inbook{microstructural evolution and substrate selectivity in pecvd mu-c-si_1993, volume={283}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1993BY10P00077&KeyUID=WOS:A1993BY10P00077}, booktitle={Microcrystalline Semiconductors : Materials Science & Devices}, year={1993}, pages={495–500} }
@article{kurz h._heesel h._wang c._g._1993, title={Optical-detection of photoconductivity in hydrogenated amorphous silicon, a-Si:H, in the subpicosecond time-domain}, volume={166}, journal={Journal of Non-crystalline Solids}, author={Kurz H., Esser A. and Heesel H., Lucovsky G. and Wang C. and G., Parsons}, year={1993}, pages={575–578} }
@article{esser_heesel_kurz_wang_parsons_lucovsky_1993, title={TRANSPORT-PROPERTIES OF OPTICALLY GENERATED FREE-CARRIERS IN HYDROGENATED AMORPHOUS-SILICON IN THE FEMTOSECOND TIME REGIME}, volume={47}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1993KP08600014&KeyUID=WOS:A1993KP08600014}, DOI={10.1103/PhysRevB.47.3593}, abstractNote={We present spectral- and time-resolved reflectivity and transmission measurements on hydrogenated amorphous silicon, a-Si:H, with 50 fs time resolution. Electron-hole pairs are photoexcited into the extended states of a-Si:H by an ultrashort pump pulse at a photon energy of 2 eV. The optical response of photogenerated carriers is studied on an ultrashort time scale, Δt-100 fs, after the excitation process where recombination and trapping can be neglected. The spectral dependence of the optical response is analyzed in terms of a hopping model for the transport of the photogenerated carriers in the extended states of a-Si:H}, number={7}, journal={Physical Review B}, author={ESSER, A and HEESEL, H and KURZ, H and WANG, C and PARSONS, GN and LUCOVSKY, G}, year={1993}, pages={3593–3597} }
@article{esser_h._h._c._g.n._lucovsky_1993, title={Transport processes of optically generated free carriers in amorphous silicon, a-Si:H in the femtosecond time regime}, volume={B}, number={47}, journal={Physical Review}, author={Esser, A. Heesel and H., Kurz and H., Wang and C., Parsons and G.N. and Lucovsky, G.}, year={1993}, pages={3593} }
@article{boland_parsons_1992, title={BOND SELECTIVITY IN SILICON FILM GROWTH}, volume={256}, ISSN={["0036-8075"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1992HW13500027&KeyUID=WOS:A1992HW13500027}, DOI={10.1126/science.256.5061.1304}, abstractNote={Hydrogen atoms can selectively eliminate strained bonds that form during the growth of amorphous silicon films. By periodically interrupting the growth and exposing the grown material to hydrogen, the film composition can be varied continuously from a non-equilibrium amorphous structure to that of a crystalline solid. Furthermore, by tuning the hydrogen exposure it is possible to discriminate between Si—Si bonds formed on different substrates, thereby allowing substrate-selective growth. The evolution of the film structure during hydrogen exposure is directly observed by scanning tunneling microscopy, and a model describing the role of hydrogen is presented.}, number={5061}, journal={SCIENCE}, author={BOLAND, JJ and PARSONS, GN}, year={1992}, month={May}, pages={1304–1306} }
@article{parsons_1992, title={ENHANCED MOBILITY TOP-GATE AMORPHOUS-SILICON THIN-FILM TRANSISTOR WITH SELECTIVELY DEPOSITED SOURCE DRAIN CONTACTS}, volume={13}, ISSN={["0741-3106"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1992GZ85100003&KeyUID=WOS:A1992GZ85100003}, DOI={10.1109/55.144965}, abstractNote={Amorphous silicon thin-film transistors (TFTs), in a top-gate staggered electrode structure have been prepared using selectively deposited doped silicon contact layers, formed in-situ by plasma-enhanced chemical vapor deposition (PECVD). Selective deposition reduces the number of processing steps and assures the formation of low-resistance contacts. Devices fabricated with two photomasks and one plasma deposition step show saturation and linear mobilities as high as 1.1 and 0.9 cm/sup 2//V-s, respectively, with threshold voltages between 3 and 6 V. On/off ratios are >10/sup 6/, with a subthreshold slope of 0.8 V/decade. The mobilities are at least a factor or 2 higher than previously reported for top-gate structures and are similar to values reported for bottom-gate (inverted staggered) TFTs.<>}, number={2}, journal={IEEE ELECTRON DEVICE LETTERS}, author={PARSONS, GN}, year={1992}, month={Feb}, pages={80–82} }
@article{parsons_boland_tsang_1992, title={SELECTIVE DEPOSITION AND BOND STRAIN RELAXATION IN SILICON PECVD USING TIME MODULATED SILANE FLOW}, volume={31}, ISSN={["0021-4922"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1992JU82100002&KeyUID=WOS:A1992JU82100002}, DOI={10.1143/jjap.31.1943}, abstractNote={
The use of time modulated gas flows in plasma enhanced chemical vapor deposition (PECVD) gives an additional degree of flexibility to the growth process to control film nucleation and modify the properties of the deposited films. Specifically, we show that time modulated flow of silane into a hydrogen plasma allows for substrate selective nucleation and enhanced crystallinity of microcrystalline silicon films. We have used Raman spectroscopy, infrared absorption spectroscopy and scanning tunneling microscopy (STM) to investigate reactions between atomic hydrogen and the growth surface that result in crystallite formation and selective deposition. We show that exposing the growth surface to atomic hydrogen results in: 1) breaking of highly strained surface bonds; and 2) etching of silicon from the surface. We find that substrate dependent nucleation, and the different etch rates of the different nucleii, lead to selective deposition. However, we show that etching is not sufficient to account for microcrystallite formation, and that bond strain relaxation by atomic hydrogen promotes motion of adatoms on the surface and leads to the nucleation of crystalline silicon. The selective deposition technique has been applied to the formation of thin film transistor devices, and these results are also presented.
}, number={6B}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS}, author={PARSONS, GN and BOLAND, JJ and TSANG, JC}, year={1992}, month={Jun}, pages={1943–1947} }
@inbook{souk_parsons_batey_madan_hamakawa_thompson_taylor_lecomber_1991, title={COMPOSITION AND PROPERTIES OF PECVD SILICON-NITRIDE FILMS DEPOSITED FROM SIH4, N-2, HE GASES}, volume={219}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1991BU93V00118&KeyUID=WOS:A1991BU93V00118}, DOI={10.1557/PROC-219-787}, abstractNote={ABSTRACTAmorphous silicon nitride films deposited from a gas mixture of SiH4 and N2 with a large flow of He have shown many interesting characteristics. The films show a wide variety of electrical, optical, and mechanical properties with varying amounts of SiH4 and N2. The effect of N2 flow rate on film composition in N2-SiH4 processes is quite different from that of NH3 flow in NH3-SiH4 processes. The films were characterized by measurements of (1) Si-H and N-H bond density and bonded hydrogen content, both from infrared absorption, (2) Si/N ratio, (3) refractive index, (4) film stress, and (5) wet chemical etch rate and (6) electrical properties including current-voltage (I-V) and capacitance-voltage (C-V). We find that adding helium to the PECVD process enhances the incorporation of nitrogen in the film and an optimized flow of SiH4 improves the electrical properties. Films with optimum electrical properties with minimum charge trapping are obtained with N/Si ratio close to 1.33. These films have a small amount of Si-H and N-H bonds, and a low etch rate (> 100 A/min) in aqueous HF solution. The properties of these low temperature (250°C) PECVD nitrides have many similarities with LPCVD nitrides. Compared with films deposited from SiH4, NH3 mixture, these films exhibit very low wet etch rates and much lower H contents, but greater hysteresis in C-V characteristics.}, booktitle={Amorphous Silicon Technology - 1991}, author={SOUK, JH and PARSONS, GN and BATEY, J and MADAN, A and HAMAKAWA, Y and THOMPSON, MJ and TAYLOR, PC and LECOMBER, PG}, year={1991}, pages={787–792} }
@article{parsons_souk_batey_1991, title={LOW HYDROGEN CONTENT STOICHIOMETRIC SILICON-NITRIDE FILMS DEPOSITED BY PLASMA-ENHANCED CHEMICAL VAPOR-DEPOSITION}, volume={70}, ISSN={["0021-8979"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1991GA10000065&KeyUID=WOS:A1991GA10000065}, DOI={10.1063/1.349544}, abstractNote={We have deposited silicon nitride films by plasma-enhanced chemical vapor deposition (PECVD) at 250 °C with properties similar to films prepared at 700 °C by low-pressure chemical vapor deposition (LPCVD). Films are prepared using silane and nitrogen source gases with helium dilution. The film properties, including N/Si ratio, hydrogen content and electrical quality are most sensitive to changes in the silane flow rate during deposition. For films deposited under optimized conditions at a substrate temperature of 250 °C, current versus voltage measurements in metal-insulator-semiconductor structures show the onset of carrier injection at 3–4 MV/cm, slightly lower than LPCVD films. When bias-stressed to 2 MV/cm, capacitance versus voltage measurements show some hysteretic behavior and evidence for positive fixed charge, similar to LPCVD films. For the optimized films: N/Si=1.33±.02; refractive index (λ=6328 Å)=1.980±0.01; dielectric constant (1 MHz) ∼7.5; density=2.7±0.1; and the etch rate in 10% buffered HF ranges from 32 to 70 Å/min. In addition, the hydrogen is distributed equally in Si-H and N-H groups, with a total hydrogen content <10 at.%. These films have a significantly lower hydrogen content than observed in other PECVD silicon nitride films deposited at this temperature. When the substrate temperature is increased to 350 °C, the films have the same Si/N ratio, and similar electrical properties; the hydrogen content is reduced to <6×1021 cm−3, and the etch rate is 17 Å/s in 10% buffered HF solution.}, number={3}, journal={JOURNAL OF APPLIED PHYSICS}, author={PARSONS, GN and SOUK, JH and BATEY, J}, year={1991}, month={Aug}, pages={1553–1560} }
@article{parsons_1991, title={SELECTIVE DEPOSITION OF SILICON BY PLASMA-ENHANCED CHEMICAL VAPOR-DEPOSITION USING PULSED SILANE FLOW}, volume={59}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1991GP27500023&KeyUID=WOS:A1991GP27500023}, DOI={10.1063/1.105948}, abstractNote={We report a new low-temperature (<300 °C) process for selective deposition of silicon using time modulated flow of silane into a hydrogen plasma. Time modulated gas flow allows the chemical processes associated with deposition and surface modification or etching to occur sequentially, and be controlled independently, giving an additional degree of freedom to the deposition process. The observed selective deposition is consistent with substrate specific nucleation, and preferential etching of the nuclei during the hydrogen plasma exposure. The application of the selective deposition process to the fabrication of thin-film transistor structures is also presented.}, number={20}, journal={APPLIED PHYSICS LETTERS}, author={PARSONS, GN}, year={1991}, month={Nov}, pages={2546–2548} }
@article{parsons_wang_lucovsky_1990, title={ANNEALING OF INTRINSIC AND PHOTOINDUCED DEFECTS IN HYDROGENATED AMORPHOUS-SILICON}, volume={193}, ISSN={["0040-6090"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1990EQ61000003&KeyUID=WOS:A1990EQ61000003}, DOI={10.1016/0040-6090(90)90209-v}, abstractNote={Electronic defects in hydrogenated amorphous silicon thin films, deposited from the glow discharge decomposition of silane in the temperature range between about 225 °C and 325 °C and having approximately 10–15 at.% hydrogen, undergo a thermally activated relaxation during film deposition. We determine the kinetics of this relaxation process in films with similar hydrogen concentrations deposited by reactive magnetron sputtering at a substrate temperature of about 40 °C, and annealed at temperatures greater than 150 °C. We compare the kinetics of this process with the annealing of photo-induced defects in low defect density (less than 1016 cm−3) films. We also present a quantitative relationship between the relaxation time and the deposition and/or annealing conditions required to produce low defect density material.}, number={1-2}, journal={THIN SOLID FILMS}, author={PARSONS, GN and WANG, C and LUCOVSKY, G}, year={1990}, month={Dec}, pages={577–587} }
@article{lucovsky_kim_tsu_parsons_fitch_1990, title={FORMATION OF SILICON-BASED HETEROSTRUCTURES IN MULTICHAMBER INTEGRATED-PROCESSING THIN-FILM DEPOSITION SYSTEMS}, volume={8}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1990DG23600120&KeyUID=WOS:A1990DG23600120}, DOI={10.1116/1.576787}, abstractNote={This paper describes the formation of heterostructure devices using multichamber integrated-processing thin-film deposition systems: clustered processing-tools with UHV-compatible inter-chamber transfer. The application of remote plasma-enhanced chemical-vapor deposition (remote PECVD) for the formation of semiconducting and dielectric thin films in several device structures is discussed. Special attention is directed to (i) the deposition conditions required for control of layer and interface chemistry, and (ii) post-deposition-annealing (PDA) for the modification of physical and electronic properties of the individual layers and their interfaces. Due to limitations on in situ patterning, each of the device structures is completed after removal from the cluster. A criterion is proposed for the evaluation of multichamber processing systems that is based on the ability to form multilayer structures with two or more electronically significant interfaces without the removal of the heterostructure from the cluster processing ambient.}, number={3}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={LUCOVSKY, G and KIM, SS and TSU, DV and PARSONS, GN and FITCH, JT}, year={1990}, pages={1947–1954} }
@article{parsons_wang_williams_lucovsky_1990, title={POSTDEPOSITION RELAXATION OF ELECTRONIC DEFECTS IN HYDROGENATED AMORPHOUS-SILICON}, volume={56}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1990DC36600027&KeyUID=WOS:A1990DC36600027}, DOI={10.1063/1.103056}, abstractNote={Electronically active defects in hydrogenated amorphous silicon thin films, deposited by the conventional glow discharge process in the temperature range between about 225 and 325 °C with ∼10–15 at. % hydrogen, undergo a thermally activated relaxation during film deposition. We determine the kinetics of this relaxation process in films with similar hydrogen concentrations deposited by reactive magnetron sputtering at a substrate temperature of ∼40 °C, and annealed at temperatures greater than 150 °C. We present a quantitative relationship between the relaxation time, and the deposition and/or annealing conditions required to produce low defect density material.}, number={19}, journal={Applied Physics Letters}, author={PARSONS, GN and WANG, C and WILLIAMS, MJ and LUCOVSKY, G}, year={1990}, pages={1895–1897} }
@article{parsons_wang_lucovsky_1990, title={Post-deposition relaxation of electronic defects in hydrogenated amorphous silicon}, volume={56}, journal={Applied Physics Letters}, author={Parsons, G.N. and Wang, C. and Lucovsky, G.}, year={1990}, pages={1895–1897} }
@inbook{nemanich_buehler_legrice_shroder_parsons_wang_lucovsky_boyce_fauchet_tanaka_et al._1990, title={RAMAN-SCATTERING FROM MICROCRYSTALLINE FILMS - CONSIDERATIONS OF COMPOSITE STRUCTURES WITH DIFFERENT OPTICAL-ABSORPTION PROPERTIES}, volume={164}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1990BR31R00039&KeyUID=WOS:A1990BR31R00039}, booktitle={Materials Issues in Microcrystalline Semiconductors}, author={NEMANICH, RJ and BUEHLER, EC and LEGRICE, YM and SHRODER, RE and PARSONS, GN and WANG, C and LUCOVSKY, G and BOYCE, JB and FAUCHET, PM and TANAKA, K and et al.}, year={1990}, pages={265–270} }
@article{parsons_lucovsky_1990, title={SILICON-HYDROGEN BOND-STRETCHING VIBRATIONS IN HYDROGENATED AMORPHOUS SILICON-NITROGEN ALLOYS}, volume={41}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1990CM10600039&KeyUID=WOS:A1990CM10600039}, DOI={10.1103/PhysRevB.41.1664}, abstractNote={On demontre qu'un modele quantitatif, developpe pour decrire des deplacements inductifs des frequences de mode d'etirement de Si-H dans le systeme amorphe Si-O hydrogene peut etre aussi applique aux alliages silicium-azote hydrogene}, number={3}, journal={Physical Review B}, author={Parsons, Gregory and LUCOVSKY, G}, year={1990}, pages={1664–1667} }
@article{esser_seibert_kurz_parsons_wang_davidson_lucovsky_nemanich_1990, title={ULTRAFAST RECOMBINATION AND TRAPPING IN AMORPHOUS-SILICON}, volume={41}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1990CP40600024&KeyUID=WOS:A1990CP40600024}, DOI={10.1103/PhysRevB.41.2879}, abstractNote={We have studied the time-resolved reflectivity and transmission changes induced by femtosecond laser pulses in hydrogenated and nonhydrogenated amorphous silicon thin films, a-Si:H and a-Si, respectively. By varying the pump power, and hence the photoexcited free-carrier densities, by several orders of magnitude, a quadratic, nonradiative recombination process has been identified that controls the density of free carriers on a picosecond time scale for excitation levels above 5\ifmmode\times\else\texttimes\fi{}${10}^{18}$ ${\mathrm{cm}}^{\mathrm{\ensuremath{-}}3}$ in a-Si:H and above 5\ifmmode\times\else\texttimes\fi{}${10}^{19}$ ${\mathrm{cm}}^{\mathrm{\ensuremath{-}}3}$ in a-Si. At lower free-carrier densities, the reflectivity transients display the dynamics expected from a trapping mechanism. We suggest that the process that dominates for the higher free-carrier densities may result from Auger recombination but with a dependence on the carrier density that is different from that which has been observed in crystalline semiconductors where k selection prevails.}, number={5}, journal={Physical Review B}, author={ESSER, A and SEIBERT, K and KURZ, H and Parsons, Gregory and WANG, C and DAVIDSON, BN and LUCOVSKY, G and NEMANICH, RJ}, year={1990}, pages={2879–2884} }
@article{parsons_tsu_lucovsky_1989, title={DEFECTS IN A-SI-H FILMS PRODUCED BY REMOTE PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION}, volume={107}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989T362800023&KeyUID=WOS:A1989T362800023}, DOI={10.1016/0022-3093(89)90475-4}, abstractNote={Abstract We have grown films of hydrogenated amorphous silicon, a-Si:H, by RPECVD with substrate temperatures, T s , between 38 and 400°C and have studied the hydrogen incorporation by infrared ( ir ) analysis, the optical absorbance (including sub-bandgap absorbance), the defect state density in annealed and light soaked states, and other photoelectronic properties. The RPECVD films differ from conventional glow discharge (GD) and sputtered films, most notably in the T s dependence of the hydrogen bonding environments (SiH, SiH 2 , etc.) and the photoconductivity. RPECVD films produced with T s = 235°C are similar to ‘device grade’ GD films. We have compared defect density with photoconductivity and inferred a value for the capture cross section. We have determined the effect of light soaking on the defect density (Staebler-Wronski effect) as a function of T s and have related these results to hydrogen bonding environments, hydrogen concentration and photoelectronic properties.}, number={2-3}, journal={Journal of Non-Crystalline Solids}, author={PARSONS, GN and TSU, DV and LUCOVSKY, G}, year={1989}, pages={295–300} }
@article{kim_parsons_fountain_lucovsky_1989, title={DEPENDENCE OF A-SI-H/SI3N4 INTERFACE PROPERTIES ON THE DEPOSITION SEQUENCE IN AMORPHOUS-SILICON THIN-FILM TRANSISTOR PRODUCED BY REMOTE PECVD PROCESS}, volume={115}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989CK50000019&KeyUID=WOS:A1989CK50000019}, DOI={10.1016/0022-3093(89)90363-3}, abstractNote={Two different layer deposition sequences using Remote PECVD have been investigated by in-situ Auger Electron Spectroscopy and photoconductivity. The carrier lifetime at the interface depends on the specific dielectric and on the film deposition sequence. A field effect mobility of 0.74 cm2/Vs is measured for the inverted staggered a-Si TFT formed at 238°C using a Si3N4bottom gate dielectric.}, number={1-3}, journal={Journal of Non-Crystalline Solids}, author={KIM, SS and PARSONS, GN and FOUNTAIN, GG and LUCOVSKY, G}, year={1989}, pages={69–71} }
@article{parsons_tsu_lucovsky_1989, title={Defects in a-Si:H films produced by remote plasma enhanced CVD}, volume={107}, journal={Journal of Non-crystalline Solids}, author={Parsons, G.N. and Tsu, T.V. and Lucovsky, G.}, year={1989}, pages={295–300} }
@article{kim_parsons_lucovsky_1989, title={Dependence of the chemical, electrical and photoelectronic properties of a-Si:H/Si3N4 interfaces on the deposition sequence}, volume={114}, journal={Journal of Non-Cyrstalline Solids}, author={Kim, S.S. and Parsons, G.N. and Lucovsky, G.}, year={1989} }
@article{wang_parsons_lucovsky_1989, title={EFFECTS OF GAS ADDITIVES ON THE PROPERTIES OF A-SI-H FILMS}, volume={114}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989CK49700061&KeyUID=WOS:A1989CK49700061}, DOI={10.1016/0022-3093(89)90110-5}, abstractNote={We show that the addition of small amounts of water vapor, or O2 into the processing ambient leads to significant improvements in the properties of a-Si:H films deposited by reactive magnetron sputtering at substrate temperatures <150°C. The relative fraction of polyhydride bonding, and defect densities are both reduced; the films show increased stability against light-soaking induced defects; while levels of oxygen in the films remain below ∼5×1018/cm3.}, journal={Journal of Non-Crystalline Solids}, author={WANG, C and PARSONS, GN and LUCOVSKY, G}, year={1989}, pages={193–195} }
@article{lucovsky_davidson_parsons_wang_1989, title={INCORPORATION OF POLYHYDRIDE BONDING GROUPS INTO THIN-FILMS OF HYDROGENATED AMORPHOUS-SILICON (A-SI-H)}, volume={114}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989CK49700048&KeyUID=WOS:A1989CK49700048}, DOI={10.1016/0022-3093(89)90097-5}, abstractNote={We show for several different deposition methods, including conventional glow-discharge (GD), reactive magnetron sputtering (RMS), and remote plasma-enhanced chemical-vapor deposition (remote PECVD), that the distribution of hydrogen between monohydride and polyhydride groups is a function of the total amount of bonded hydrogen in the film, and is not uniquely determined by the substrate temperature, or any other single deposition process variable. We demonstrate that RMS and remote PECVD offer advantages over the GD process in control of polyhydride formation in a-Si:H films.}, journal={Journal of Non-Crystalline Solids}, author={LUCOVSKY, G and DAVIDSON, BN and PARSONS, GN and WANG, C}, year={1989}, pages={154–156} }
@article{lucovsky_parsons_wang_davidson_tsu_1989, title={LOW-TEMPERATURE DEPOSITION OF HYDROGENATED AMORPHOUS-SILICON (A-SI-H) - CONTROL OF POLYHYDRIDE INCORPORATION AND ITS EFFECTS ON THIN-FILM PROPERTIES}, volume={27}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989CM44500012&KeyUID=WOS:A1989CM44500012}, DOI={10.1016/0379-6787(89)90022-7}, abstractNote={It is shown how remote plasma-enhanced chemical vapor deposition (remote PECVD) and reactive magnetron sputtering (RMS) can be adopted to limit the relative fraction of polyhydride bonding groups for low substrate temperature depositions (Ts < 200 °C) of a-Si:H. These process modifications provide new options for the processing of device structures by combinations of low-temperature deposition and post-deposition, short-term thermal annealing. It is shown for several different deposition processes, including conventional glow-discharge deposition (GD), RMS, and remote PECVD, that the distribution of bonded hydrogen between monohydride and polyhydride bonding groups is determined by the total amount of bonded hydrogen [H] in the film and is not intrinsically related to Ts, or any other deposition parameter. A statistical model is presented, which provides a basis for translating process-dependent representations of data for the relative monohydride and polyhydride fractions to a universally obeyed scaling relationship in which the independent variable is [H]. Finally, selected properties of low-Ts films formed by RMS and remote PECVD are briefly discussed.}, number={1-4}, journal={Solar Cells}, author={LUCOVSKY, G and PARSONS, GN and WANG, C and DAVIDSON, BN and TSU, DV}, year={1989}, pages={121–136} }
@article{tsu_parsons_lucovsky_watkins_1989, title={Mass and optical emission spectroscopic studies of the gas phase during the deposition of SiO2 and a-Si:H by remote plasma enhanced chemical vapor deposition}, volume={A}, number={7}, journal={Journal of Vacuum Science & Technology}, author={Tsu, D.V. and Parsons, G.N. and Lucovsky, G. and Watkins, M.W.}, year={1989}, pages={1115–1123} }
@article{tsu_parsons_lucovsky_watkins_1989, title={OPTICAL-EMISSION AND MASS SPECTROSCOPIC STUDIES OF THE GAS-PHASE DURING THE DEPOSITION OF SIO2 AND A-SI-H BY REMOTE PLASMA-ENHANCED CHEMICAL VAPOR-DEPOSITION}, volume={7}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989U715300103&KeyUID=WOS:A1989U715300103}, DOI={10.1116/1.576239}, abstractNote={This paper will present mass spectrometric and optical emission spectroscopic studies of the deposition process of amorphous hydrogenated silicon (a-Si:H) and silicon dioxide (SiO2) by remote plasma-enhanced chemical vapor deposition (remote PECVD). We have established that the silane reactant, which is not directly exposed to a rf plasma in either of the deposition processes, is not fragmented or chemically combined in the gas phase. Specifically there is no evidence for the formation of disilane, Si2H6, or siloxanes or silanols in the gas phase, as in the direct PECVD process. In the case of the a-Si:H depositions, the silane is excited in the gas phase and the excited species, SiH*4 , is the deposition precursor. In the case of the SiO2 depositions, the active species promoting deposition is an O2 metastable neutral molecule. The by-products of the respective reactions are H2 and H2O.}, number={3}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={TSU, DV and PARSONS, GN and LUCOVSKY, G and WATKINS, MW}, year={1989}, pages={1115–1123} }
@article{parsons_tsu_wang_lucovsky_1989, title={PRECURSORS FOR THE DEPOSITION OF AMORPHOUS-SILICON HYDROGEN ALLOYS BY REMOTE PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION}, volume={7}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989U715300104&KeyUID=WOS:A1989U715300104}, DOI={10.1116/1.576240}, abstractNote={We have grown thin films of intrinsic and doped a-Si:H alloys by remote plasma enhanced chemical vapor deposition (remote PECVD) and studied their optical, electrical, and infrared vibrational properties. The electronic properties of remote PECVD films deposited at Ts =240 °C are similar to glow discharge (GD) films produced at the same Ts . Remote PECVD a-Si:H films deposited at Ts =100 °C show predominantly monohydride bonding in the infrared absorbance spectrum, and have electrical properties that are markedly improved over GD films deposited at this same relatively low Ts. We have studied the deposition process by mass spectrometry and optical emission spectroscopy and find that the concentration of silane fragments (SiHx, x=0–3) and higher silanes (e.g., disilane, Si2H6) in the gas phase is below our detection limit of 1%. Bias experiments and a comparison of the a-Si:H deposition rate with the known concentration of silane in the gas phase suggest that in remote PECVD, electrons from the He plasma produce vibrationally excited silane molecules (as opposed to silane fragments) in the gas phase or on the deposition surface which then act as the precursors for the a-Si:H thin-film deposition reactions.}, number={3}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={PARSONS, GN and TSU, DV and WANG, C and LUCOVSKY, G}, year={1989}, pages={1124–1129} }
@article{parsons_tsu_wang_lucovsky_1989, title={Precursors for the deposition of amorphous silicon hydrogen alloys by remote plasma enhanced CVD}, volume={A}, number={7}, journal={Journal of Vacuum Science & Technology}, author={Parsons, G.N. and Tsu, D.V. and Wang, C. and Lucovsky, G.}, year={1989}, pages={1124–1129} }
@article{nemanich_buehler_legrice_shroder_parsons_wang_lucovsky_boyce_1989, title={RAMAN-SCATTERING FROM MICROCRYSTALLINE SI FILMS - CONSIDERATIONS OF COMPOSITE STRUCTURES WITH DIFFERENT OPTICAL-ABSORPTION PROPERTIES}, volume={114}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989CK49800139&KeyUID=WOS:A1989CK49800139}, DOI={10.1016/0022-3093(89)90729-1}, abstractNote={Raman scattering measurements are used to characterize the amorphous and crystalline components of microcrystalline Si films. A model is described which addresses the properties of Raman scattering from composites of materials of different optical absorption. The analysis shows that the observed spectra is dependent on both the percentage of the components and on the domain size of the more highly absorbing domains. Samples of microcrystalline silicon prepared by excimer laser exposure of hydrogenated a-Si and by magnetron sputtering were measured, and the results were analyzed in terms of the model. The experimental results reflect the length scales of the domains and vibrational excitations.}, journal={Journal of Non-Crystalline Solids}, author={NEMANICH, RJ and BUEHLER, EC and LEGRICE, YM and SHRODER, RE and PARSONS, GN and WANG, C and LUCOVSKY, G and BOYCE, JB}, year={1989}, pages={813–815} }
@article{parsons_wang_williams_lucovsky_1989, title={REDUCTION OF DEFECTS BY HIGH-TEMPERATURE ANNEALING (150-DEGREES-C-240-DEGREES-C) IN HYDROGENATED AMORPHOUS-SILICON FILMS DEPOSITED AT ROOM-TEMPERATURE}, volume={114}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989CK49700056&KeyUID=WOS:A1989CK49700056}, DOI={10.1016/0022-3093(89)90105-1}, abstractNote={We have deposited undoped a-Si:H films with hydrogen content from 0% to 19% with the substrate temperature near room temperature (Ts=40°C). We find an “intrinsic” defect density of 1018cm−3 in the as-deposited films with [H]=12%. Annealing the films at temperatures >150°C reduces the defect density and increased the photoresponse to levels the same as those in films deposited at Ts > 200°C.}, journal={Journal of Non-Crystalline Solids}, author={PARSONS, GN and WANG, C and WILLIAMS, MJ and LUCOVSKY, G}, year={1989}, pages={178–180} }
@article{parsons_wang_lucovsky_1989, title={Reduction of defects by high temperature (180 degrees c-240 degrees c) annealing in room temperature deposited hydrogenated amorphous silicon}, journal={Journal of Non-crystalline Solids}, author={Parsons, G.N. and Wang, C. and Lucovsky, G.}, year={1989}, pages={114} }
@article{esser_seibert_kurz_parsons_wang_davidson_lucovsky_nemanich_1989, title={ULTRAFAST RECOMBINATION AND TRAPPING IN AMORPHOUS-SILICON}, volume={114}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1989CK49800062&KeyUID=WOS:A1989CK49800062}, DOI={10.1016/0022-3093(89)90654-6}, abstractNote={Abstract We have studied time-resolved reflectivity changes induced by femtosecond laser pulses in a-Si and a-Si:H thin-films. By varying pump-power, we have identified a non-radiative recombination process which controls the free-carrier density, N, on a picosecond time scale for N>5 ×1018cm−3 in a-Si:H and >5×1019 cm−3 in a-Si. At lower carrier densities, transients are controlled by trapping of free-carriers.}, journal={Journal of Non-Crystalline Solids}, author={ESSER, A and SEIBERT, K and KURZ, H and PARSONS, GN and WANG, C and DAVIDSON, BN and LUCOVSKY, G and NEMANICH, RJ}, year={1989}, pages={573–575} }
@article{parsons_tsu_lucovsky_1988, title={PROPERTIES OF INTRINSIC AND DOPED A-SI-H DEPOSITED BY REMOTE PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION}, volume={6}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1988N974800158&KeyUID=WOS:A1988N974800158}, DOI={10.1116/1.575244}, abstractNote={We have grown films of a-Si:H by remote plasma enhanced chemical vapor deposition (RPECVD) with substrate temperatures Ts between 38 and 400 °C and studied the infrared and optical absorbance (including sub-band-gap absorbance), and other photoelectronic properties. The RPECVD films differ from glow discharge (GD) and sputtered films, most notably in the Ts dependence of the hydrogen bonding environments (SiH, SiH2, etc.) and the photoconductivity. RPECVD films produced with Ts=235 °C are similar to ‘‘device grade’’ GD films. Based on the differences between these films, we construct a model for the RPECVD deposition process that includes SiH3 species as precursors to the growth of high-quality films. We also present experimental evidence of the selectability of precursor formation in the RPECVD process.}, number={3}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={Parsons, Gregory and TSU, DV and LUCOVSKY, G}, year={1988}, pages={1912–1916} }
@article{tsu_parsons_lucovsky_1988, title={SPECTROSCOPIC EMISSION STUDIES OF O2/HE AND N2/HE PLASMAS IN REMOTE PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION}, volume={6}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1988N974800137&KeyUID=WOS:A1988N974800137}, DOI={10.1116/1.575267}, abstractNote={We have analyzed He, O2 /He, and N2 /He plasmas of the remote plasma enhanced chemical vapor deposition of a-Si:H, silicon oxide, and nitride deposition by emission optical spectroscopy and by mass spectrometry. We have detected species such as atomic N and O as well as metastable He. These will be discussed relative to the deposition of silicon nitrides, oxides, and amorphous silicon.}, number={3}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={TSU, DV and Parsons, Gregory and LUCOVSKY, G}, year={1988}, pages={1849–1854} }
@article{cook_parsons_kusano_lucovsky_1987, title={BONDING DEFECTS IN AMORPHOUS-SILICON ALLOYS}, volume={21}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1987J014200039&KeyUID=WOS:A1987J014200039}, DOI={10.1016/0379-6787(87)90137-2}, abstractNote={We present a study of the photocurrent and dark current in amorphous hydrogenated silicon (a-Si:H), a-SiGe:H and a-Ge:H surface cell devices in which we varied the sample thickness and the penetration depth of the incident light. We find that for a-Si:H and a-Ge:H the bulk lifetime is several orders of magnitude higher than the effective surface lifetime whereas in an a-SiGe:H alloy (with 50 at.% Ge) the bulk lifetime is reduced and is comparable with the surface lifetime. These differences in relative bulk and surface lifetimes are correlated with differences in the relative values of the dark conductivity activation energies. These are less than half of the gap in a-Si:H and a-Ge:H, but greater than half of the gap in the a-SiGe:H alloy.}, journal={Solar Cells}, author={COOK, JW and PARSONS, GN and KUSANO, C and LUCOVSKY, G}, year={1987}, pages={387–397} }
@article{parsons_tsu_lucovsky_1987, title={OPTICAL AND ELECTRICAL-PROPERTIES OF A-SI-H FILMS GROWN BY REMOTE PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION (RPECVD)}, volume={97-8}, ISSN={["0022-3093"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1987L610800146&KeyUID=WOS:A1987L610800146}, DOI={10.1016/0022-3093(87)90329-2}, abstractNote={We have deposited a-Si:H films by RPECVD (substrate temperatures, Ts = 38 to 400°C) and have studied the IR and optical absorbance, and other electrical and optical properties. The RPECVD films differ from glow discharge (GD) and sputtered films most notably in the Ts dependence of the hydrogen bonding environments (SiH, SiH2, etc.), and the photoconductivity. RPECVD films produced with Ts = 235°C have properties comparable to ‘device grade’ GD films.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={PARSONS, GN and TSU, DV and LUCOVSKY, G}, year={1987}, month={Dec}, pages={1375–1378} }
@article{parsons_kusano_lucovsky_1987, title={PHOTOELECTRONIC PROPERTIES OF A-SI=H AND A-GE=H THIN-FILMS IN SURFACE CELL STRUCTURES}, volume={5}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1987J259600010&KeyUID=WOS:A1987J259600010}, DOI={10.1116/1.574541}, abstractNote={We have grown thin films of a-Si:H and a-Ge:H by reactive magnetron sputtering and have studied their photoelectronic properties in surface cell structures. The dark and photocurrents do not obey the expected scaling laws with respect to changes in the sample thickness. This is explained in terms of a model which assumes depletion regions at both surfaces of the thin-film structure. We have analyzed the properties of such structures and have used the thickness dependence of the dark current and activation energy to estimate: (1) the defect concentrations near the Fermi level and (2) the amount of surface band bending. Defect densities so obtained are in agreement with those determined from space-charge limited currents (SCLC’s) and photodeflection spectroscopy (PDS).}, number={4}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={PARSONS, GN and KUSANO, C and LUCOVSKY, G}, year={1987}, pages={1655–1660} }
@article{parsons_kusano_lucovsky_1987, title={Photoelectronic properties of a-Si:H and a-Ge:H thin films in surface cell structures}, volume={A}, number={5}, journal={Journal of Vacuum Science & Technology}, author={Parsons, G.N. and Kusano, C. and Lucovsky, G.}, year={1987}, pages={1655–1660} }
@article{parsons_cook_lucovsky_lin_mantini_1986, title={DEPOSITION OF ALPHA-SI,SN H ALLOY-FILMS BY REACTIVE MAGNETRON SPUTTERING FROM SEPARATE SI AND SN TARGETS}, volume={4}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1986C819100053&KeyUID=WOS:A1986C819100053}, DOI={10.1116/1.573910}, abstractNote={We have deposited thin film a-Si,Sn:H alloy films in a dual magnetron sputtering system, and have studied the chemical bonding of the constituent atoms by infrared (IR) absorption spectroscopy, Auger electron spectroscopy (AES), and x-ray photoelectron spectroscopy (XPS). We have also measured the band-edge optical absorption, the photoconductivity, and the temperature dependence of the dark conductivity. We find that the variation of the optical band gap, the dark conductivity and the photoconductivity are essentially the same in these films as in films produced by the glow discharge decomposition of silane (SiH4) and either SnCl4 or Sn(CH3)4. For Sn concentration as high as 26 at. %, we cannot detect SnH vibrations by IR. We find that the optical gap decreases monotonically with increasing Sn concentration, but that the dark conductivity does not display a conduction mechanism with an activation energy close to one-half of the optical gap. Instead, both the dark and photoconductivities display a transition to a hopping conduction mechanism at a Sn concentration between about 1 and 2 at. % (this corresponds to an optical gap just below 1.7 eV). We propose a model for this transition in which the Sn is incorporated in nontetrahedral bonding geometries.}, number={3}, journal={Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films}, author={PARSONS, GN and COOK, JW and LUCOVSKY, G and LIN, SY and MANTINI, MJ}, year={1986}, pages={470–474} }
@article{parsons_cook_lucovsky_lin_mantini_1986, title={Deposition of a-Si,Sn:H alloy films by reactive magnetron sputtering from separate Si and Sn targets}, volume={A}, number={4}, journal={Journal of Vacuum Science & Technology}, author={Parsons, G.N. and Cook, J.W., Jr. and Lucovsky, G. and Lin, S.Y. and Mantini, M.J.}, year={1986}, pages={470–474} }
@article{rudder_parsons_cook_lucovsky_1985, title={LOW DEFECT DENSITY A-SI,GE-H ALLOY-FILMS PRODUCED BY MAGNETRON SPUTTERING FROM SEPARATE SI AND GE CATHODES}, volume={77-8}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1985AXX2300035&KeyUID=WOS:A1985AXX2300035}, DOI={10.1016/0022-3093(85)90802-6}, abstractNote={Abstract Amorphous hydrogenated silicon-germanium (a-Si, Ge:H) thin films have been deposited by magnetron sputtering from separate silicon and germanium targets. We discuss systematic variations in the photoelectronic properties and the effective band-gap as functions of the germanium and bonded hydrogen concentrations.}, journal={Journal of Non-Crystalline Solids}, author={RUDDER, RA and Parsons, Gregory and COOK, JW and LUCOVSKY, G}, year={1985}, pages={885–888} }
@article{kamieniecki_parsons_1983, title={CHARACTERIZATION OF SEMICONDUCTOR ELECTROLYTE SYSTEMS BY SURFACE PHOTO-VOLTAGE MEASURED CAPACITANCE}, volume={130}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1983RC47600386&KeyUID=WOS:A1983RC47600386}, number={8}, journal={Journal of the Electrochemical Society}, author={KAMIENIECKI, E and PARSONS, GN}, year={1983}, pages={C329} }
@article{kamieniecki_parsons_1983, title={Characterization of semiconductor electrolyte systems by surface photovoltage measured capacitance}, volume={130}, number={8}, journal={Journal of the Electrochemical Society}, author={Kamieniecki, E. and Parsons, G.N.}, year={1983}, pages={C329} }