@article{ives_zeller_lucovsky_schamiloglu_marsden_collins_nichols_karimov_2015, title={Multipactor Coating for Sapphire RF Windows Using Remote Plasma-Assisted Deposition}, volume={43}, ISSN={["1939-9375"]}, DOI={10.1109/tps.2015.2450678}, abstractNote={Traditional application of multipactor coatings applied with sputtering techniques to high-power RF windows typically performs well when applied to sintered powder ceramics. Unfortunately, sputtered coatings do not adhere well to crystal materials, such as sapphire. This publication describes a plasma-assisted process that molecularly bonds the multipactor coating to the base window material. The performance was verified by measuring secondary electron emission yield and operating the window at high power. The coating process and performance are presented.}, number={8}, journal={IEEE TRANSACTIONS ON PLASMA SCIENCE}, author={Ives, Robert Lawrence and Zeller, Daniel and Lucovsky, Gerry and Schamiloglu, Edl and Marsden, David and Collins, George and Nichols, Kimberley and Karimov, Rasul}, year={2015}, month={Aug}, pages={2571–2580} } @article{lucovsky_zeller_cheng_zhang_2014, title={Remote plasma-processing (RPP), medium range order, and precursor sites for dangling bond defects in "amorphous-Si(H)" alloys: Photovoltaic and thin film transistor devices}, volume={242}, ISSN={["0257-8972"]}, DOI={10.1016/j.surfcoat.2013.06.104}, abstractNote={Remote plasma processing (RPP) provides pathways to the formation of photovoltaic (PV) and thin-film-transistor (TFT) devices that include buried interfaces. This is made possible by separate and independent control of (i) plasma excited O- and N-atom deposition precursors in a up-stream plasma chamber, combined with (ii) down-stream injection of Si- and Ge-atoms with control gas flow rates providing control of buried interface bonding at monolayer levels. Devices with intrinsic, B p-type and P n-type “a-Si(H)” & “a-Si,Ge(H)” layers require 10% bonded H in monolayer (SiH arrangements) and deposition and/or annealing at temperatures between 240 and 275 °C. Deposition from SiH4 with either PH3 or B2H6 dopant gasses provides spectrally reflecting films which can be annealed yielding fine-grain films for gate, or source and drain regions for TFTs or FETs.}, journal={SURFACE & COATINGS TECHNOLOGY}, author={Lucovsky, G. and Zeller, D. J. and Cheng, C. and Zhang, Y.}, year={2014}, month={Mar}, pages={183–186} } @article{lucovsky_2013, title={Band-edge electronic structure and pre-existing defects in remote plasma deposited non-crystalline SiO2 and GeO2}, volume={52}, number={4}, journal={Japanese Journal of Applied Physics}, author={Lucovsky, G.}, year={2013} } @article{lucovsky_2013, title={Band-edge electronic structures, and pre-existing defects in remote plasma deposited (RPD) non-crystalline (nc-) SiO2 and GeO2}, volume={83}, ISSN={["1879-2405"]}, DOI={10.1016/j.sse.2013.01.028}, abstractNote={Abstract Three inter-related topics are addressed: (i) ray spectroscopy (XAS) studies of remote plasma deposited (RPD) nc-SiO2 and nc-GeO2 emphasizing (a) band-edge states and (b) pre-existing bonding defects: (ii) interpretation of X-ray absorption and photoemission spectra based on many electron theory, and (iii) band-edge electronic structure and intrinsic defects in nc-SiO2 and nc-GeO2 thin films and their respective interfaces with Si and Ge substrates. The most significant result is the identification of local atomic structure and medium range order (MRO) cluster in which pre-existing defects are embedded. The defects are vacated O-atom sites in which O-atoms have never been resident. They are confined to 1 nm scale chemically-ordered clusters distributed aperiodically in quartz-structured 4-fold coordinated Si(Ge) and 2-fold coordinated O clusters comprised of 12-atom Si–O and Ge–O regular rings. The vacated site defects are formed during processing and annealing, reducing macroscopic strain. Finally, they are qualitatively different, and therefore readily distinguished from defects introduced by electrical stressing, and by X-ray, γ-ray or high energy electron stressing.}, journal={SOLID-STATE ELECTRONICS}, author={Lucovsky, Gerald}, year={2013}, month={May}, pages={30–36} } @inproceedings{lucovsky_wu_pappas_whitten_2013, title={Ligand field splittings in core level transitions for transition metal (TM) oxides: Tanabe-Sugano diagrams and (TM) dangling bonds in vacated O-atom defects}, volume={428}, booktitle={Xxist international symposium on the jahn-teller effect 2012}, author={Lucovsky, G. and Wu, K. and Pappas, B. and Whitten, J.}, year={2013} } @inproceedings{lucovsky_2013, title={Nano-scale order in hydrogenated amorphous silicon a-Si,H and doped a-Si(H) defect reduction for device applications}, booktitle={2013 14th international conference on ultimate integration on silicon (ulis)}, author={Lucovsky, G.}, year={2013}, pages={217–220} } @article{lucovsky_kim_wu_zeller_2013, title={Noncrystalline SiO2 and GeO2: Process induced pre-existing defects and vacated O-atom intrinsic bonding sites}, volume={31}, ISSN={["2166-2746"]}, DOI={10.1116/1.4773923}, abstractNote={Electron spin resonance (ESR) studies on bulk-quenched, noncrystalline (nc-) silica glasses (henceforth, nc-SiO2) have distinguished between (1) pre-existing process-induced defects introduced either after growth or annealing at high temperatures and (2) x-ray or γ-ray radiation or energetic electron particle-created defects. The ESR activity in these pre-existing defects is activated by x-rays. Similar pre-exiting defects have been demonstrated for remote plasma-deposited thin films of nc-SiO2 and nc-GeO2. Concentrations of pre-existing defects increase exponentially with increasing quenching and annealing temperatures. This is always the case for so-called “dry silicas” with no detectable Si–OH bonding. Nonbonding O-hole centers or nonbonding O-associated hole centers are also detected in dry silicas but only after significant x-ray, γ-ray, or energetic electron irradiation. Pre-existing defect has also been detected by second derivative O K pre-edge x-ray absorption spectroscopy in thermally grown and remote plasma-deposited nc-SiO2 and nc-GeO2 thin films. These spectra display singlet and triplet features that can be symmetry state labeled according to Tanabe–Sugano diagrams. This is demonstrated by combining ab initio theory and experiment by identifying the pre-existing defects as vacated O-atom sites in which an O-atom has never been resident.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Lucovsky, Gerald and Kim, Jinwoo and Wu, Kun and Zeller, Daniel}, year={2013}, month={Jan} } @article{lucovsky_zeller_kim_wu_2013, title={Process induced pre-existing defects in non-crystalline SiO2 and GeO2 at vacated O-atom bonding sites and comparisons with Ge-S(Se) alloy bonding sites}, volume={428}, ISSN={["1742-6596"]}, DOI={10.1088/1742-6596/428/1/012017}, abstractNote={Three related topics are addressed in this article: (i) X-ray spectroscopy (XAS) studies of remote plasma deposited (RPD) nc-SiO2 and nc-GeO2 emphasizing (a) band-edge states and (b) pre-existing bonding defects; (ii) interpretation of X-ray absorption and photoemission spectra based on many electron theory, and in particluar charge transfer multiplets (CTs); and (iii) band-edge electronic structure and intrinsic defects in nc-SiO2 and nc-GeO2 thin films and their interfaces with Si and Ge substrates. The most significant result is the identification of local atomic structure in medium range order (MRO) clusters in which the pre-existing defects are embedded. These defects are vacated O-atom sites in which O-atoms have never been resident. They are confined to 1 nm scale chemically-ordered clusters distributed non-periodically with quartz-structured 4-fold coordinated Si(Ge) and 2-fold coordinated O bonding in 12-atom regular rings. Vacated O-atom sites defects are formed during processing and annealing, and reducing macroscopic as well as local bond-strain strain. They are qualitatively different, and readily distinguished from defects introduced by electrical, and by X-ray, γ-ray or high energy electron stressing of nc-SiO2 and nc-GeO2.}, journal={XXIST INTERNATIONAL SYMPOSIUM ON THE JAHN-TELLER EFFECT 2012}, author={Lucovsky, Gerald and Zeller, Daniel and Kim, JinWoo and Wu, Kun}, year={2013} } @inproceedings{lucovsky_kim_2013, title={Qualitative and quantitative differences between non-crystalline and nano-crystalline oxides in device technologies}, booktitle={2013 14th international conference on ultimate integration on silicon (ulis)}, author={Lucovsky, G. and Kim, J.}, year={2013}, pages={174–177} } @article{lucovsky_parsons_zeller_kim_2013, title={Spectroscopic Detection of Medium Range Order in Device Grade Hydrogenated Amorphous Silicon}, volume={52}, ISSN={["1347-4065"]}, DOI={10.7567/jjap.52.04cr10}, abstractNote={ This article addresses low defect densities in hydrogenated amorphous silicon, a-Si1-x :H x with approximately 10 at. % bonded H, x∼0.1. Based low defect densities at mid-gap, ∼0.5 to 1×1016 cm-3, a-Si:H thin films have been integrated into photovoltaic (PV) devices and thin film transistors (TFT's). Amorphous Si (a-Si) thin films with no detectable bonded-H have been used as precursors for polycrystalline gate electrodes in microelectronic applications. PV and TFT alloys have been deposited by glow discharge (GD), remote plasma-enhanced chemical vapor deposition (RPECVD), and reactive magnetron sputtering (RMS) with different bonded-H content determined by deposition precursors and substrate temperatures. Two conditions are required for the lowest Si dangling bond densities: (i) a monohydride, Si–H, concentration of ∼10 at. % H, and (ii) deposition, and/or a post-deposition annealing at 240 to 300 °C. }, number={4}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS}, author={Lucovsky, Gerry and Parsons, Greg and Zeller, Daniel and Kim, Jinwoo}, year={2013}, month={Apr} } @article{lucovsky_kim_2013, title={Transport through singlet states in resistive memory materials: Magneli-phase, TinO2n-1 for 9 >= n > 3, and TiO2-HfO2 alloys}, volume={31}, number={1}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Kim, J.}, year={2013} } @article{lucovsky_miotti_bastos_2012, title={Many-Electron Multiplet Theory Applied to O-Vacancies in (i) Nanocrystalline HfO2 and (ii) Non-crystalline SiO2 and Si Oxynitride Alloys}, volume={23}, ISBN={["978-94-007-2383-2"]}, ISSN={["1567-7354"]}, DOI={10.1007/978-94-007-2384-9_10}, abstractNote={Performance and reliability in semiconductor devices are limited by electronically active defects, primarily O-atom vacancies. Synchrotron X-ray spectroscopy results, interpreted in the context of multiplet theories, have been used to analyze conduction band edge, and O-vacancy defect states in nanocrystalline transition metal oxides such as HfO2, and the non-crystalline oxides including SiO2, and Si3N4 and Si oxynitride alloys. Multiplet theory provides the theoretical foundation for an equivalentd 2 model for O-vacancy transitions and negative ion states as detected by X-ray absorption spectroscopy in the O K pre-edge regime. Comparisons between theory and experiment have relied on Tanabe-Sugano energy level diagrams for identifying the symmetries and multiplicities of transition energies for an equivalent d2 ground state occupancy. The equivalent d2 model has been applied to nanocrystalline thin films of ZrO2,HfO2,TiO2 and Lu2O3 and provides excellent agreement with X-ray absorption spectroscopy data. The model has also been applied to SiO2 and other Si based dielectrics where very good agreement with multiplet theory has also been demonstrated. The spectra indicate both triplet and singlet final states indicating that the two electrons in the vacancy sites have singlet and triplet ground states that are within a few tenths of an eV of each other. For the transition metal oxides, this is explained by relatively small distortions in the vacancy geometry in which the separation between the respective transition metal atoms is 1.6 times the bond-length in an ideal tetrahedral geometry, or the same factor for two fold coordination in O-atom bonding sites in SiO2 andGeO2. These distortions minimize the exchange energy in triplet spin states, and reduce the radial wave function overlap in singlet spin states.}, journal={VIBRONIC INTERACTIONS AND THE JAHN-TELLER EFFECT: THEORY AND APPLICATIONS}, author={Lucovsky, Gerry and Miotti, Leonardo and Bastos, Karen Paz}, year={2012}, pages={193–211} } @article{lucovsky_miotti_bastos_2012, title={O-Vacancies in (i) Nano-Crystalline HfO2 and (i) Non-Crystalline SiO2 and Si3N4 Studied by X-ray Absorption Spectroscopy}, volume={12}, ISSN={["1533-4899"]}, DOI={10.1166/jnn.2012.4912}, abstractNote={Performance and reliability in semiconductor devices are limited by electronically active defects, primarily O-atom and N-atom vacancies. Synchrotron X-ray spectroscopy results, interpreted in the context of two-electron multiplet theories, have been used to analyze conduction band edge, and O-vacancy defect states in nano-crystalline transition metal oxides, e.g., HfO2, and the noncrystalline dielectrics, SiO2, Si3N4 and Si-oxynitride alloys. Two-electron multiplet theory been used to develop a high-spin state equivalent d2 model for O-vacancy allowed transitions and negative ion states as detected by X-ray absorption spectroscopy in the O K pre-edge regime. Comparisons between theory and experiment have used Tanabe-Sugano energy level diagrams for determining the symmetries and relative energies of intra-d-state transitions for an equivalent d2 ground state occupancy. Trap-assisted-tunneling, Poole-Frenkel hopping transport, and the negative bias temperature instability have been explained in terms of injection and/or trapping into O-atom and N-atom vacancy sites, and applied to gate dielectric, and metal-insulator-metal structures.}, number={6}, journal={JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY}, author={Lucovsky, Gerald and Miotti, Leonardo and Bastos, Karen Paz}, year={2012}, month={Jun}, pages={4811–4819} } @article{lucovsky_miotti_bastos_adamo_schlom_2012, title={Spectroscopic Detection of Hopping Induced Mixed Valence for Ti and Sc in GdSc1-xTixO3 for x > 0.165}, volume={12}, ISSN={["1533-4899"]}, DOI={10.1166/jnn.2012.4911}, abstractNote={Only two of the first row transition metals have elemental oxides that are either ferro- or ferri-magnetic. These are CrO2 and Fe3O4. The electron spin alignment that promotes the ferro(i)magnetism is associated with a double exchange mechanism that requires mixed valence as well as metallic conductivity. This paper describes a novel way to realize these two necessary, but not sufficient conditions for double exchange magnetism. These are mixed valence and a hopping conductivity that promotes at least intra-plane electron spin alignment in a complex oxide perovskite host, A(B,C)O3. A is an ordinary metal, or a rare earth atom, B is a d0 transition metal, and C is a d(n) transition metal in which n > or = 1, as for example in GdSc1-xTi(x)O3. This article combines X-ray absorption spectroscopy, multiplet theory, charge transfer multiplet theory and degeneracy removal by Jahn-Teller effect mechanisms to demonstrate mixed valence for both Sc and Ti above a percolation threshold, x > 0.16, in which hopping transport gives rise to a metal to insulator transition.}, number={6}, journal={JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY}, author={Lucovsky, Gerald and Miotti, Leonardo and Bastos, Karen Paz and Adamo, Carolina and Schlom, Darrell G.}, year={2012}, month={Jun}, pages={4749–4756} } @article{lucovsky_miotti_bastos_2012, title={Spectroscopic Detection of Hopping Induced Mixed Valence of Ti and Sc in GdSc1-xTixO3 for x Greater than Percolation Threshold of 0.16}, volume={23}, ISSN={["1567-7354"]}, DOI={10.1007/978-94-007-2384-9_20}, abstractNote={Only two of the first row transition metal binary oxides are either ferro- or ferri-magnetic. These are CrO2 and Fe3O4. The electron spin alignment promoting electron spin alignment is associated with a double exchange mechanism requiring mixed valence as well as metallic conductivity. This chapter describes a novel way to realize these two necessary, but not sufficient conditions for double exchange magnetism. These are mixed valence and a hopping conductivity that can promote intra-plane electron spin alignment in a complex oxide host perovskite, $${\mathrm{GeSc}}_{1-\mathrm{x}}{\mathrm{Ti}}_{\mathrm{x}}{\mathrm{O}}_{3}$$ . This in-plane spin-correlation does necessarily produce for producing spin alignment between alternating (Sc,Ti)O2 atomic planes, especially in distorted perovskite structures. Intra-plane alignment is obtained when the A-atom of a trivalent atom AB(D)O3 peroskite, in this example Gd, is an ordinary metal or a rare earth atom, the B-atom, in this example Sc, is a d0 transition metal, and the dopant atom, D, in this example, Ti3 + in d1 state, is a dn transition with n ≥ 1, as in $${\mathrm{GdSc}}_{1-\mathrm{x}}{\mathrm{Ti}}_{\mathrm{x}}{\mathrm{O}}_{3}$$ . This article combines X-ray absorption spectroscopy, multiplet theory, and degeneracy removal by a Jahn-Teller effect mechanisms to demonstrate intra-layer mixed valence for Sc and Ti above a percolation threshold x ∼ 0.16 at which hopping transport is associated with a metal to insulator transition. This has been observed in epitaxial films, and not in nano-grain nanocrystalline, where the number of Sc atoms in a grain with 2–5nm dimensions is orders of magnitude too small for observation of an a hopping conductivity that requires a percolation mechanism.}, journal={VIBRONIC INTERACTIONS AND THE JAHN-TELLER EFFECT: THEORY AND APPLICATIONS}, author={Lucovsky, Gerry and Miotti, Leonardo and Bastos, Karen Paz}, year={2012}, pages={361–376} } @article{gokce_aspnes_lucovsky_gundogdu_2011, title={Bond-specific reaction kinetics during the oxidation of (111) Si: Effect of n-type doping}, volume={98}, number={2}, journal={Applied Physics Letters}, author={Gokce, B. and Aspnes, D. E. and Lucovsky, G. and Gundogdu, K.}, year={2011} } @article{washington_joseph_raoux_jordan-sweet_miller_cheng_schrott_chen_dasaka_shelby_et al._2011, title={Characterizing the effects of etch-induced material modification on the crystallization properties of nitrogen doped Ge2Sb2Te5}, volume={109}, ISSN={["1089-7550"]}, DOI={10.1063/1.3524510}, abstractNote={The chemical and structural effects of processing on the crystallization of nitrogen doped Ge2Sb2Te5 is examined via x-ray photoelectron spectroscopy (XPS), x-ray absorption spectroscopy (XAS), time resolved laser reflectivity, and time resolved x-ray diffraction (XRD). Time resolved laser reflectivity and XRD show that exposure to various etch and ash chemistries significantly reduces the crystallization speed while the transition temperature from the rocksalt to the hexagonal phase is increased. XPS and XAS attribute this to the selective removal and oxidization of N, Ge, Sb, and Te, thus altering the local bonding environment to the detriment of device performance.}, number={3}, journal={JOURNAL OF APPLIED PHYSICS}, author={Washington, J. S. and Joseph, E. A. and Raoux, S. and Jordan-Sweet, J. L. and Miller, D. and Cheng, H. -Y. and Schrott, A. G. and Chen, C. -F. and Dasaka, R. and Shelby, B. and et al.}, year={2011}, month={Feb} } @article{soares_krug_miotti_bastos_lucovsky_baumvol_radtke_2011, title={Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate}, volume={98}, ISSN={["0003-6951"]}, DOI={10.1063/1.3574093}, abstractNote={Thermally driven atomic transport in HfO2/GeO2/substrate structures on Ge(001) and Si(001) was investigated in N2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO2/Ge interface. In addition, hafnium germanate is formed at 600 °C. Our data indicate that at 500 °C and above HfO2/GeO2 stacks are stable only if isolated from the Ge substrate.}, number={13}, journal={APPLIED PHYSICS LETTERS}, author={Soares, G. V. and Krug, C. and Miotti, L. and Bastos, K. P. and Lucovsky, G. and Baumvol, I. J. R. and Radtke, C.}, year={2011}, month={Mar} } @article{lucovsky_miotti_bastos_2011, title={Many-electron multiplet theory applied to o-atom vacancies in high-k dielectrics}, volume={50}, DOI={10.7567/jjap.50.04da15}, abstractNote={Two-electron multiplet theory has been used to develop a high-spin effective d2 model for O-vacancy spin-allowed and spin-forbidden dipole transitions, and for negative ion state traps. The transition and negative ion states have been detected by X-ray absorption spectroscopy in the O K pre-edge regime of transition metal (TM) elemental oxides and complex oxides. Occupied ground and excited states of the model satisfy Hund's rules by (i) including only high-spin state arrangements and (ii) using many electron state term symbols consistent with Russell–Saunders coupling. Qualitative and quantitative agreement between theory and experiment is demonstrated by using Tanabe–Sugano energy level diagrams for (i) identifying the symmetries and spin states, and (ii) determining the relative energies of intra-d-state transitions that are allowed in the presence of an intermediate strength ligand field. This includes removal of the spin degeneracy for the allowed transitions by a cooperative Jahn–Teller effect. The effective d2 model is applied to nanocrystalline thin films of ZrO2, HfO2, TiO2, and Lu2O3 and to illustrate the agreement between the new d2 model and the X-ray absorption spectroscopy data. The new model has also been applied with the same degree of success to complex TM oxides and SiO2.}, number={4}, journal={Japanese Journal of Applied Physics. Part 1, Regular Papers, Short Notes & Review Papers}, author={Lucovsky, G. and Miotti, L. and Bastos, K. P.}, year={2011} } @article{lucovsky_2011, title={Multiplet theory for conduction band edge and O-Vacancy defect states in SiO2, Si3N4, and Si oxynitride alloy thin films}, volume={50}, number={4}, journal={Japanese Journal of Applied Physics. Part 1, Regular Papers, Short Notes & Review Papers}, author={Lucovsky, G.}, year={2011} } @article{katz_zhang_hughes_chung_lucovsky_brillson_2011, title={Nanoscale depth-resolved electronic properties of SiO2/SiOx/SiO2 for device-tolerant electronics}, volume={29}, number={1}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Katz, E. J. and Zhang, Z. and Hughes, H. L. and Chung, K. B. and Lucovsky, G. and Brillson, L. J.}, year={2011} } @article{lucovsky_kim_wu_zeller_papas_whitten_2011, title={Non-crystalline SiO(2): processing induced pre-existing defects associated with vacated O-atom intrinsic bonding sites}, volume={13}, number={11-12}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G. and Kim, J. W. and Wu, K. and Zeller, D. and Papas, B. and Whitten, J. L.}, year={2011}, pages={1359–1363} } @article{lucovsky_miotti_bastos_2011, title={O-vacancies in (i) nanocrystalline HfO2 and (i) noncrystalline SiO2 and Si3N4 studied by x-ray absorption spectroscopy}, volume={29}, ISSN={["2166-2746"]}, DOI={10.1116/1.3533758}, abstractNote={Performance and reliability of semiconductor-oxide-metal devices with high-κ gate dielectrics are limited by electronically active O-atom vacancy defects. Synchrotron x-ray spectroscopy defect features have been interpreted using two-electron multiplet theory. This approach quantifies conduction band edge and pre-edge features assigned to intrinsic bonding effects. Theoretical studies based on density functional theory have identified these defects as neutral and negatively-charged O-atom vacancies in transition metal (TM) oxides including HfO2 and ZrO2. However, agreement between calculated electronic states and experiment has been less than satisfactory. In this paper the O-vacancy electronic structure is addressed using a theoretical approach extended from multiplet theory traditionally applied to occupied intrinsic, and alloy and impurity atom d states in TM oxides. An equivalent d2 model based occupation of two d orbitals of the TM atoms that border the neutral vacancy site has been used to determine...}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Lucovsky, Gerald and Miotti, Leonardo and Bastos, Karen Paz}, year={2011}, month={Jan} } @article{lucovsky_zeller_whitten_2011, title={O-vacancies in transition metal (TM) oxides: Coordination and local site symmetry of transition and negative ion states in TM2O3 and TMO2 oxides}, volume={88}, ISSN={0167-9317}, url={http://dx.doi.org/10.1016/j.mee.2011.03.153}, DOI={10.1016/j.mee.2011.03.153}, abstractNote={Removal of neutral O-atoms from a transition metal (TM) oxide results in two electrons residing within the vacated site. Two-electron multiplet theory has been used to develop a d2 equivalent model. When applied to tetragonal HfO2, the two electrons of neutral vacancy in the d2 equivalent limit reside on two Hf d-state orbitals in a high-spin configuration. Transition energies and negative ion state features are detected in transition metal (TM) oxides by X-ray absorption spectroscopy (XAS) in the O K pre-edge/sub-band gap energy regime, and are compared with the model. These transitions are from the O 1s2 doubly occupied core level approximately 543 eV below vacuum, and terminate in empty virtual bound states. In addition these strong spin-allowed transitions, spectra include weaker features corresponding to either spin-forbidden transitions in the d2 model, or alternatively to a near-degeneracy with a singlet ground state with weak Hf-atom pair bonds. The analysis of O K edge XAS spectra identify a significant distinction between multiplet (i) triplet transition energies for neutral O-vacancies that are not active as electron or hole traps, (ii) O-vacancy negative ion states that are active as electron traps in Poole–Frenkel conduction or trap-assisted tunneling (TAT), and (iii) O-vacancy occupied ground states that can trap holes.}, number={7}, journal={Microelectronic Engineering}, publisher={Elsevier BV}, author={Lucovsky, G. and Zeller, D. and Whitten, J.L.}, year={2011}, month={Jul}, pages={1471–1474} } @article{schrimpf_fleetwood_alles_reed_lucovsky_pantelides_2011, title={Radiation effects in new materials for nano-devices (invited)}, volume={88}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2011.03.117}, abstractNote={Exposure to radiation poses significant challenges for electronic devices, including parametric degradation, loss of data, or catastrophic failure. The challenges and solutions change significantly as new materials are introduced and feature sizes become smaller. This paper reviews the effects of radiation on electronics, with emphasis on the impact of new materials.}, number={7}, journal={MICROELECTRONIC ENGINEERING}, author={Schrimpf, R. D. and Fleetwood, D. M. and Alles, M. L. and Reed, R. A. and Lucovsky, G. and Pantelides, S. T.}, year={2011}, month={Jul}, pages={1259–1264} } @article{lucovsky_zeller_2011, title={Remote Plasma Enhanced Chemical Deposition of Non-Crystalline GeO2 on Ge and Si Substrates}, volume={11}, ISSN={["1533-4880"]}, DOI={10.1166/jnn.2011.5090}, abstractNote={Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.}, number={9}, journal={JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY}, author={Lucovsky, Gerald and Zeller, Daniel}, year={2011}, month={Sep}, pages={7974–7981} } @article{lucovsky_kim_2011, title={Remote Plasma Processing of Sapphire Substrates for Deposition of TiN and TiO2}, volume={11}, ISSN={["1533-4899"]}, DOI={10.1166/jnn.2011.5089}, abstractNote={The paper uses remote plasma assisted deposition, oxidation and nitridation processes for depositing thin films of metallic TiN on crystalline sapphire (0001) substrates. These films on sapphire substrates are being studied as window materials for high power radio frequency (RF) power tubes. A sequence of four process steps has been performed in a reactor chamber that isolates the deposition and surface-processing chamber from the plasma generation region. The chamber is part of an ultra-high-vacuum (UHV) compatible multi-chamber cluster in which the sequence of four process steps can be interrupted after each step, and surface chemistry changes can be identified by in-line Auger electron spectroscopy (AES). The four process steps, performed after an ex-situ chemical clean and blow-dry in nitrogen gas, are (i) a remote plasma-assisted oxidation (RPAO) in which surface contaminants including adventitious carbon are removed; (ii) a remote plasma-assisted nitridation (RPAN) process which forms a superficial layer of generic AION used to increase surface adhesion of the TiN films; (iii) a remote plasma-enhanced chemical-vapor deposition (RPECVD) process for deposition of 2 to 5 nm thick TiN films, and finally (iv) a second RPAN step that increases the ratio of Ti-N bonding in the TiN films with respect to adventitious O-atom incorporation from the Ti precursor, Ti tetra-butoxide.}, number={9}, journal={JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY}, author={Lucovsky, Gerald and Kim, Jinwoo}, year={2011}, month={Sep}, pages={7962–7968} } @article{lucovsky_zeller_wu_whitten_2011, title={Remote plasma-deposited GeO2 with quartz-like Ge- and O-local bonding: Band-edge state and O-vacancy comparisons with SiO2}, volume={88}, ISSN={0167-9317}, url={http://dx.doi.org/10.1016/j.mee.2011.03.152}, DOI={10.1016/j.mee.2011.03.152}, abstractNote={X-ray absorption spectroscopy (XAS) and many-electron theory are combined to describe symmetries and degeneracies for intrinsic bonding defects in remote plasma-deposited (rpd-) non-crystalline (nc-), rpd-nc-GeO2 and rpd-nc-SiO2, hereafter simply GeO2 and SiO2. GeO2 is emerging as an alternative gate dielectric for nano-CMOS devices. High resolution O-vacancy spectral features in O K pre-edge spectra for SiO2 have been assigned to multiplet transitions and negative ion states based on an extended d2 equivalent model, including both weaker singlet and as well the stronger triplet transitions discussed in previous publications. This has revealed predominantly mid-gap Ge and Si singlet and triplet bonding arrangements for the electrons in O-vacancy sites. These have been examined as a function of post deposition rapid thermal annealing in Ar ambients for GeO2 deposited at 300 °C on both Si and Ge substrates, identifying different post-deposition thermal budget processing windows for low defect density GeO2 on Si and Ge substrates. For ultra thin nitrided SiOx, x < 2 interfacial layers on Si, this is at least 700 °C, but on Ge, independent of surface processing it less than 600 °C.}, number={7}, journal={Microelectronic Engineering}, publisher={Elsevier BV}, author={Lucovsky, G. and Zeller, D. and Wu, K. and Whitten, J.L.}, year={2011}, month={Jul}, pages={1537–1540} } @article{lucovsky_miotti_bastos_adamo_schlom_2011, title={Spectroscopic detection of hopping induced mixed valence for Ti and Sc in GdSc1-xTixO3 for x greater than the percolation threshold of similar to 0.16}, volume={29}, number={1}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Miotti, L. and Bastos, K. P. and Adamo, C. and Schlom, D. G.}, year={2011} } @article{lucovsky_parsons_zeller_wu_papas_whitten_lujan_street_2011, title={Spectroscopic detection of medium range order in device quality hydrogenated amorphous silicon, a-Si(H)}, volume={13}, number={11-12}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G. and Parsons, G. and Zeller, D. and Wu, K. and Papas, B. and Whitten, J. and Lujan, R. and Street, R. A.}, year={2011}, pages={1586–1589} } @inproceedings{lucovsky_phillips_2010, title={A microscopic bonding model for the compositional dependence of the first sharp diffraction peak (FSDP) in GexSe1-x alloys}, volume={7}, number={3-4}, booktitle={Physica status solidi c - current topics in solid state physics, vol 7 no 3-4}, author={Lucovsky, G. and Phillips, J. C.}, year={2010}, pages={889–892} } @article{lucovsky_washington_miotti_paesler_2010, title={Analysis of the forgotten parts of the Ge K edge spectra: life before the EXAFS oscillations}, volume={7}, ISSN={["1862-6351"]}, DOI={10.1002/pssc.200982887}, abstractNote={Abstract}, number={3-4}, journal={PHYSICA STATUS SOLIDI C - CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 7 NO 3-4}, author={Lucovsky, Gerald and Washington, Joseph P. and Miotti, Leonardo and Paesler, Michael}, year={2010}, pages={844–847} } @article{seo_kim_lucovsky_kim_chung_kobayashi_choi_2010, title={Enhanced leakage current properties of Ni-doped Ba0.6Sr0.4TiO3 thin films driven by modified band edge state}, volume={107}, number={2}, journal={Journal of Applied Physics}, author={Seo, H. and Kim, Y. B. and Lucovsky, G. and Kim, I. D. and Chung, K. B. and Kobayashi, H. and Choi, D. K.}, year={2010} } @article{miotti_bastos_lucovsky_radtke_nordlund_2010, title={Ge doped HfO2 thin films investigated by x-ray absorption spectroscopy}, volume={28}, ISSN={["0734-2101"]}, DOI={10.1116/1.3430562}, abstractNote={The stability of the tetragonal phase of Ge doped HfO2 thin films on Si(100) was investigated. Hf(Ge)O2 films with Ge atomic concentrations varying from 0% to 15% were deposited by remote plasma chemical vapor deposition. The atomic structure on the oxide after rapid thermal annealing was investigated by x-ray absorption spectroscopy of the O and Ge K edges and by Rutherford backscattering spectrometry. The authors found that Ge concentrations as low as 5 at. % effectively stabilize the tetragonal phase of 5 nm thick Hf(Ge)O2 on Si and that higher concentrations are not stable to rapid thermal annealing at temperatures above 750 °C.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Miotti, Leonardo and Bastos, Karen P. and Lucovsky, Gerald and Radtke, Claudio and Nordlund, Dennis}, year={2010}, pages={693–696} } @article{bastos_miotti_lucovsky_chung_nordlund_2010, title={Monoclinic textured HfO2 films on GeOxNy/Ge(100) stacks using interface reconstruction by controlled thermal processing}, volume={28}, ISSN={["0734-2101"]}, DOI={10.1116/1.3430563}, abstractNote={The authors used x-ray absorption spectroscopy of the O K edge to investigate the nanocrystalline structure of thin HfO2 films deposited by remote plasma enhanced chemical vapor deposition on Ge(100). Postdeposition thermal process induced the interfacial reconstruction and the crystallization of the HfO2 in the monoclinic structure driven by the Ge(100) substrate. The substrate templating of the HfO2 crystallization is an evidence that the processing used here removes the undesired the interfacial layer and has the potential to yield interfaces with low density of defects.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Bastos, Karen Paz and Miotti, Leonardo and Lucovsky, Gerald and Chung, Kwun-Bum and Nordlund, Dennis}, year={2010}, pages={662–664} } @article{lucovsky_phillips_2010, title={Nano-regime Length Scales Extracted from the First Sharp Diffraction Peak in Non-crystalline SiO2 and Related Materials: Device Applications}, volume={5}, ISSN={["1931-7573"]}, DOI={10.1007/s11671-009-9520-6}, abstractNote={This paper distinguishes between two different scales of medium range order, MRO, in non-crystalline SiO2: (1) the first is ~0.4 to 0.5 nm and is obtained from the position of the first sharp diffraction peak, FSDP, in the X-ray diffraction structure factor, S(Q), and (2) the second is ~1 nm and is calculated from the FSDP full-width-at-half-maximum FWHM. Many-electron calculations yield Si–O third- and O–O fourth-nearest-neighbor bonding distances in the same 0.4–0.5 nm MRO regime. These derive from the availability of empty Si dπ orbitals for back-donation from occupied O pπ orbitals yielding narrow symmetry determined distributions of third neighbor Si–O, and fourth neighbor O–O distances. These are segments of six member rings contributing to connected six-member rings with ~1 nm length scale within the MRO regime. The unique properties of non-crystalline SiO2 are explained by the encapsulation of six-member ring clusters by five- and seven-member rings on average in a compliant hard-soft nano-scaled inhomogeneous network. This network structure minimizes macroscopic strain, reducing intrinsic bonding defects as well as defect precursors. This inhomogeneous CRN is enabling for applications including thermally grown ~1.5 nm SiO2 layers for Si field effect transistor devices to optical components with centimeter dimensions. There are qualitatively similar length scales in nano-crystalline HfO2 and phase separated Hf silicates based on the primitive unit cell, rather than a ring structure. Hf oxide dielectrics have recently been used as replacement dielectrics for a new generation of Si and Si/Ge devices heralding a transition into nano-scale circuits and systems on a Si chip.}, number={3}, journal={NANOSCALE RESEARCH LETTERS}, author={Lucovsky, Gerald and Phillips, James C.}, year={2010}, month={Mar}, pages={550–558} } @article{lucovsky_2010, title={Strain-reducing chemical bonding self-organizations in nanocrystalline composites and non-crystalline glasses and thin films}, volume={207}, ISSN={["1862-6319"]}, DOI={10.1002/pssa.200982841}, abstractNote={Abstract}, number={3}, journal={PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE}, author={Lucovsky, Gerald}, year={2010}, month={Mar}, pages={631–634} } @article{lucovsky_2010, title={Untitled}, volume={28}, number={6}, journal={Journal of Vacuum Science & Technology. A, Vacuum, Surfaces, and Films}, author={Lucovsky, G.}, year={2010}, pages={P1–1} } @article{gundogdu_lucovsky_chung_kim_nordlund_2009, title={Application of non-linear optical second harmonic generation and X-ray absorption and spectroscopies to defect related properties of Hf silicate and Hf Si oxynitride gate dielectrics}, volume={86}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2009.03.004}, abstractNote={Three different Hf oxide based dielectrics have emerged as viable candidates for applications in advanced ULSI devices. This article focuses on two of these: (i) phase separated Hf silicates with (i) 70-85% nano-crystalline HfO2 with a nano-grain size <2nm, and 15-30% ~2nm non-crystalline SiO2 inclusions, and (ii) Hf Si oxynitride alloys, the most promising of which has a composition, (HfO2)0.3(SiO2)0.3(Si3N4)0.4 designated as 3/3/4 Hf SiON. X-ray absorption spectroscopy has been applied to identification of defect associated with vacancy structures in phase separated silicates, and network disruption defects in the Hf Si oxynitrides. Optical second harmonic generation is introduced in this article for the first time as a non-invasive approach for detecting macroscopic strain, that is shown to be absent in these low defect density dielectrics, the phase separated Hf silicates, and Hf Si oxynitrides, but present in HfO2 films, and Hf silicates with lower HfO2 content, e.g., the 40% HfO2 film of this article.}, number={7-9}, journal={MICROELECTRONIC ENGINEERING}, author={Gundogdu, K. and Lucovsky, G. and Chung, K-B. and Kim, J. -W. and Nordlund, D.}, year={2009}, pages={1654–1657} } @book{phillips_lucovsky._2009, title={Bonds and bands in semiconductors}, publisher={New York: Momentum Press}, author={Phillips, J.C. and Lucovsky., Gerald}, year={2009} } @article{lucovsky_chung_miotti_bastos_amado_schlom_2009, title={Comparisons between intrinsic bonding defects in d(0) transition metal oxide such as HfO2, and impurity atom defects in d(0) complex oxides such as GdScO3}, volume={53}, ISSN={["1879-2405"]}, DOI={10.1016/j.sse.2009.10.012}, abstractNote={This article addresses O-atom vacancy defects in the d0 transition metal (TM) oxides HfO2 and TiO2, and Ti substitutions for Sc in the d0 complex oxide GdScO3. In each instance this results in occupied TM atoms with d1 state representations. These are important for different aspects of the ultimate scaling limits for performance and functionality in nano-scale Si devices. The occupancy of d1 states is cast in terms of many-electron theory in order to determine the effects of correlation on device performance and functionality. The first section of this article identifies equivalent d-state representations using on an ionic model for the effective valence states of Ti and Hf atoms bordering on O-atom vacancy defects. Removal of an O atom to create a neutral vacancy; this is equivalent to the bonding of two electrons to each vacancy site. This give rise to two coupled d1 states for a mono-vacancy defect. Transitions from these occupied states generate spectroscopic features in the (i) pre-edge shake-up, and (ii) virtual bound state (VBS) shake-off energy regimes in O K edge XAS spectra. The number of states confirm that these are mono-vacancy defects. The second section addresses incorporation of Ti tetravalent impurities into trivalent GdScO3, forcing Ti into a Ti3+ state and generating a d1 electronic structure. Vacancy defect concentrations in HfO2 are generally <1019 cm−3. However, the Ti solubility in GdScO3 is higher, and relative concentrations in excess of 16–17% lead to an insulator to metal transition with a ferri-magnetic electronic structure.}, number={12}, journal={SOLID-STATE ELECTRONICS}, author={Lucovsky, Gerald and Chung, Kwun-Bum and Miotti, Leonardi and Bastos, Karen Pas and Amado, Carolina and Schlom, Darrell}, year={2009}, month={Dec}, pages={1273–1279} } @article{lucovsky_2009, title={Controlled chemical phase separation in binary and ternary composites: A pathway to isotropic optical and electrical behavior for device applications}, volume={206}, ISSN={["1862-6319"]}, DOI={10.1002/pssa.200881312}, abstractNote={Abstract}, number={5}, journal={PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE}, author={Lucovsky, Gerald}, year={2009}, month={May}, pages={915–918} } @article{seo_bellenger_chung_houssa_meuris_heyns_lucovsky_2009, title={Extrinsic interface formation of HfO2 and Al2O3/GeOx gate stacks on Ge (100) substrates}, volume={106}, ISSN={["1089-7550"]}, DOI={10.1063/1.3204026}, abstractNote={The extrinsic interfaces present at the HfO2∕GeOx∕Ge and Al2O3∕GeOx∕Ge gate stacks are investigated. The effective trapped charge density, estimated from hysteresis in capacitance-voltage characteristics, is higher for HfO2 than for Al2O3, implying qualitatively different charge trapping sources in each dielectric. Spectroscopic ellipsometry and medium energy ion scattering measurements reveal that HfO2 deposition induces the formation of a thicker germanate (intermixed) layer at the HfO2∕GeOx interface, where nonstoichiometric Ge-rich GeOx having significantly low bandgap (∼1.8eV) is present. In contrast, Al2O3 deposition leads to an abrupt and thinner O-rich GeOx interfacial layer without Ge-rich GeOx phase. The proposed band alignment indicates that Ge-rich GeOx layer at HfO2∕GeOx arises a significant band potential well trapping, while O-rich GeOx layer in Al2O3∕GeOx is responsible for a relatively lower charge trapping at band potential well. The combined results strongly suggest that the control of the GeOx interface layers is crucial to reduce the high charge trapping at high-κ∕GeOx∕Ge gate stacks.}, number={4}, journal={JOURNAL OF APPLIED PHYSICS}, author={Seo, H. and Bellenger, F. and Chung, K. B. and Houssa, M. and Meuris, M. and Heyns, M. and Lucovsky, G.}, year={2009}, month={Aug} } @article{chung_lucovsky_lee_cho_jeon_2009, title={Instability of incorporated nitrogen in HfO2 films grown on strained Si0.7Ge0.3 layers}, volume={94}, ISSN={["0003-6951"]}, DOI={10.1063/1.3077014}, abstractNote={The interfacial characteristics and thermal stability of nitrided HfO2 films grown on strained Si0.7Ge0.3 layers were investigated by medium energy ion scattering, high-resolution x-ray photoelectron spectroscopy, and near-edge x-ray absorption fine structure. N incorporation of HfO2 films grown on Si0.7Ge0.3 layers was strongly related to the diffusion of Si and Ge from strained Si0.7Ge0.3 layers in the interfacial region between HfO2 films and Si0.7Ge0.3 layers by the annealing treatment in NH3 ambient. The chemical states of SiOxNy and GeOxNy were formed in the interfacial region by N incorporation, and SiOxNy was dominant chemical states rather than that of GeOxNy. However, the incorporated N was not stable, which was mostly diffused out during the postnitridation annealing in a N2 ambient. The instability of incorporated N through the additional annealing treatment extensively caused the change in the structure of HfO2.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={Chung, K. B. and Lucovsky, G. and Lee, W. J. and Cho, M. -H. and Jeon, Hyeongtag}, year={2009}, month={Jan} } @article{lucovsky_lee_long_seo_luening_2009, title={Interfacial transition regions at germanium/Hf oxide based dielectric interfaces: Qualitative differences between non-crystalline Hf Si oxynitride and nanocrystalline HfO2 gate stacks}, volume={86}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2008.05.023}, abstractNote={The contribution from a relatively low-K SiON (K ∼ 6) interfacial transition region (ITR) between Si and transition metal high-K gate dielectrics such as nanocrystalline HfO2 (K ∼ 20), and non-crystalline Hf Si oxynitride (K ∼ 10–12) places a significant limitation on equivalent oxide thickness (EOT) scaling. This limitation is equally significant for metal-oxide-semiconductor capacitors and field effect transistors, MOSCAPs and MOSFETs, respectively, fabricated on Ge substrates. This article uses a novel remote plasma processing approach to remove native Ge ITRs and bond transition metal gate dielectrics directly onto crystalline Ge substrates. Proceeding in this way we identify (i) the source of significant electron trapping at interfaces between Ge and Ge native oxide, nitride and oxynitride ITRs, and (ii) a methodology for eliminating native oxide, or nitride IRTs on Ge, and achieving direct contact between nanocrystalline HfO2 and non-crystalline high Si3N4 content Hf Si oxynitride alloys, and crystalline Ge substrates. We then combine spectroscopic studies, theory and modeling with electrical measurements to demonstrate the relative performance of qualitatively different nanocrystalline and non-crystalline gate dielectrics for MOS Ge test devices.}, number={3}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G. and Lee, S. and Long, J. P. and Seo, H. and Luening, J.}, year={2009}, month={Mar}, pages={224–234} } @article{lucovsky_2009, title={Intrinsic bonding defects in thin-film non-crystalline solids: Amorphous silicon (a-Si), hydrogenated amorphous silicon (a-Si:H), amorphous selenium (a-Se) and amorphous selenium-arsenic alloys (a-AsxSe1-x)}, volume={89}, ISSN={["1478-6435"]}, DOI={10.1080/14786430902729540}, abstractNote={This article is dedicated to Professor Walter Spear and the many contributions he has made to the physics of transport phenomena in non-crystalline solids, including the development of the time of the flight technique for determining drift mobilities and trapping life-times in non-crystalline insulating and semiconducting solids. This technique has been applied to non-crystalline chalcogenides, including amorphous selenium (a-Se) and a-As–Se alloys, as well as a-Si, hydrogenated a-Si (a-Si:H) and microcrystalline silicon (μc-Si, more recently described as nanocrystalline, i.e. nc-Si). The thin-film materials addressed in this article have found applications in markedly different device technologies, and in each instance the research contributions of Professor Spear and his collaborators, initially at Leicester, and subsequently at Dundee, have played a significant role in providing a science base for understanding carrier transport and other properties that underpin device performance and reliability.}, number={28-30}, journal={PHILOSOPHICAL MAGAZINE}, author={Lucovsky, G.}, year={2009}, pages={2449–2460} } @inproceedings{lucovsky_2009, title={Long range cooperative and local Jahn-Teller effects in nanocrystalline transition metal thin films}, volume={97}, booktitle={Jahn-teller effect: fundamentals and implications for physics and chemistry}, author={Lucovsky, G.}, year={2009}, pages={767–808} } @article{lucovsky_phillips_2009, title={Microscopic description of strain-reducing chemical bonding self-organizations in non-crystalline alloys}, volume={206}, ISSN={["1862-6300"]}, DOI={10.1002/pssa.200881311}, abstractNote={Abstract}, number={5}, journal={PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE}, author={Lucovsky, Gerald and Phillips, James C.}, year={2009}, month={May}, pages={885–891} } @inproceedings{lucovsky_long_chung_seo_watts_vasic_ulrich_2009, title={Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high-K dielectrics}, volume={27}, number={1}, booktitle={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Long, J. P. and Chung, K. B. and Seo, H. and Watts, B. and Vasic, R. and Ulrich, M. D.}, year={2009}, pages={294–299} } @article{seo_chung_long_lucovsky_2009, title={Preparation of Native Oxide and Carbon-Minimized Ge Surface by NH4OH-Based Cleaning for High-k/Ge MOS Gate Stacks}, volume={156}, ISSN={["1945-7111"]}, DOI={10.1149/1.3212848}, abstractNote={The native oxide and carbon-contaminant-minimized Ge surface with ammonium hydroxide (NH 4 0H)-based cleaning for high-k/Ge metal-oxide-semiconductor (MOS) gate stack application is reported. Furthermore, the carbon-free interfacial Ge-oxide layer preparation on the cleaned Ge surfaces was also studied. The thickness of GeO 2 on Ge surface before, during, and after different cleaning processes was evaluated by the spectroscopic ellipsometry measurements. The HF rinsing step in the cyclic HF/deionized water cleaning was not effective for a removal of native GeO 2 because it cannot form the soluble species by a chemical reaction in the low pH HF solution. A cyclic NH 4 OH-based cleaning results in a minimum residual GeO 2 at 3 and 8 A on Ge(111) and (100), respectively. The fast regrowth of GeO 2 on cleaned Ge surfaces under air exposure was observed, regardless of the cleaning methods. However, Auger electron spectroscopy spectra showed less amount of carbon on Ge surfaces with NH 4 OH-based cleaning than HF-based cleaning. The small amount of residual carbon after NH 4 OH-based wet cleaning was completely removed by O 2 plasma for a very thin ( ∼10 A) surface oxidation in the remote plasma-enhanced chemical vapor deposition chamber.}, number={11}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Seo, H. and Chung, K. B. and Long, J. P. and Lucovsky, G.}, year={2009}, pages={H813–H817} } @article{lucovsky_chung_kim_norlund_2009, title={Spectroscopic differentiation between O-atom vacancy and divacancy defects, respectively, in TiO2 and HfO2 by X-ray absorption spectroscopy}, volume={86}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2009.03.005}, abstractNote={Defect state features have been detected in second derivative O K edge spectra for thin films of nano-crystalline TiO2 and HfO2. Based on soft X-ray photoelectron band edge spectra, and the occurrence of occupied band edge 4f states in Gd(Sc,Ti)O3, complementary spectroscopic features have been confirmed in the pre-edge (<530 eV) and vacuum continuum (>545 eV) regimes of O K edge spectra. Qualitatively similar spectral features have been obtained for thin films of HfO2 and TiO2, and these have been assigned to defect states associated with vacancies. The two electrons/removed O-atom are not distributed uniformly over the TM atoms defining the vacancy geometry, but instead are localized in equivalent d-states: a d2 state for a Ti monovacancy and a d4 state for a Hf divacancy. This new model for electronic structure provides an unambiguous way to differentiate between monovacancy and divacancy arrangements, as well as immobile (or fixed) and mobile vacancies.}, number={7-9}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G. and Chung, K-B and Kim, J. -W. and Norlund, D.}, year={2009}, pages={1676–1679} } @article{lucovsky_phillips_2009, title={Strain-eliminating chemical bonding self-organizations within intermediate phase (IP) windows in chalcogenide, oxide and nitride non-crystalline bulk glasses and deposited thin film binary, ternary and quaternary alloys}, volume={355}, ISSN={["0022-3093"]}, DOI={10.1016/j.jnoncrysol.2009.04.044}, abstractNote={Transitions into, and out of intermediate phases (IPs) with minimal strain have been identified to date by Boolchand and co-workers, in bulk glasses, primarily by the extraordinary low values of the change in enthalpy, ΔHnr, associated with non-reversible heat flow, and by Lucovsky and coworkers in deposited thin films, and at dielectric–semiconductor interfaces by combining spectrographic characterizations, primarily synchrotron X-ray absorption and X-ray photoemission, and electrical measurements. This paper emphasizes chemical bonding self-organizations that minimize macroscopic strain within the IP windows, and identifies for the first time the necessary and sufficient conditions for IP windows to open, and to close, as a function of changes in the alloy composition. Percolation theory, and in particular competitive and synergistic double percolation provide a quantification of IP window first and second transition compositions that account for many of the experimentally determined IP window threshold transitions and IP window widths identified to date.}, number={37-42}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, Gerald and Phillips, Jim C.}, year={2009}, month={Oct}, pages={1786–1791} } @article{lucovsky_phillips_2009, title={Symmetry determined medium range order (MRO) contributions to the first sharp diffraction peak (FSDP) in non-crystalline oxide and chalcogenide glasses}, volume={246}, ISSN={["0370-1972"]}, DOI={10.1002/pssb.200982008}, abstractNote={Abstract}, number={8}, journal={PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS}, author={Lucovsky, Gerald and Phillips, James C.}, year={2009}, month={Aug}, pages={1806–1812} } @inproceedings{washington_josep_paesler_lucovsky_jordan-sweet_raoux_chen_pyzyna_dasaka_schrott_et al._2009, title={The influence of nitrogen doping on the chemical and local bonding environment of amorphous and crystalline Ge2Sb2Te5}, volume={1160}, DOI={10.1557/proc-1160-h13-08}, abstractNote={Abstract}, booktitle={Materials and physics for nonvolatile memories}, author={Washington, J. S. and Josep, E. and Paesler, M. A. and Lucovsky, G. and Jordan-Sweet, J. L. and Raoux, S. and Chen, C. F. and Pyzyna, A. and Dasaka, R. K. and Schrott, A. and et al.}, year={2009}, pages={163–168} } @article{chung_long_seo_lucovsky_nordlund_2009, title={Thermal evolution and electrical correlation of defect states in Hf-based high-k dielectrics on n-type Ge (100): Local atomic bonding symmetry}, volume={106}, number={7}, journal={Journal of Applied Physics}, author={Chung, K. B. and Long, J. P. and Seo, H. and Lucovsky, G. and Nordlund, D.}, year={2009} } @article{agarwal_paesler_baker_taylor_lucovsky_edwards_2008, title={Bond constraint theory and the quest for the glass computer}, volume={70}, ISSN={["0973-7111"]}, DOI={10.1007/s12043-008-0043-y}, number={2}, journal={PRAMANA-JOURNAL OF PHYSICS}, author={Agarwal, S. C. and Paesler, M. A. and Baker, D. A. and Taylor, P. C. and Lucovsky, G. and Edwards, A.}, year={2008}, month={Feb}, pages={245–254} } @article{paesler_baker_lucovsky_2008, title={Bond constraint theory studies of chalcogenide phase change memories}, volume={354}, DOI={10.1016/j.jnoncryso1.2007.09.045}, number={19-25}, journal={Journal of Non-crystalline Solids}, author={Paesler, M. A. and Baker, D. A. and Lucovsky, G.}, year={2008}, pages={2706–2710} } @article{lee_seo_lucovsky_fleming_ulrich_luening_2008, title={Bulk defects in nano-crystalline and in non-crystalline HfO2-based thin film dielectrics}, volume={517}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2008.08.098}, abstractNote={Defect states in the form of band edge electron and hole traps in HfO2 nano-crystalline films are qualitatively different in two different length scale regimes. For grain sizes > 3–4 nm, they are discrete band edge states associated with O-atom vacancies pinned and clustered at grain boundaries, whereas in as-deposited films, and films with a physical thickness of ~ 2 nm, they are band-tail defects with a density reduced by more than an order of magnitude. Defect states in non-crystalline high Si3N4 content Hf Si oxynitride alloys are qualitatively different than those in the either regime of nano-crystallinity, but instead are similar to those in SiO2, with densities < 1011 cm− 2 contrasted with defects densities in excess of 1011 cm− 2 in films with nano-grains ~ 2 nm, and extending to > 1012 cm− 2 in films with nano-grains > 3–4 nm.}, number={1}, journal={THIN SOLID FILMS}, author={Lee, S. and Seo, H. and Lucovsky, G. and Fleming, L. B. and Ulrich, M. D. and Luening, J.}, year={2008}, month={Nov}, pages={437–440} } @article{lucovsky_whitten_2008, title={Chemical bonding and graded interfacial transition regions at transition metal, Hf(Zr),/high-k gate dielectric, Hf(Zr)O(2), interfaces}, volume={517}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2008.08.034}, abstractNote={One of the most significant and challenging process integration issues for high-k dielectrics is the replacement of poly-Si gates in CMOS devices with either dual metal gates, or a single mid-band gap metal. The issue is the stability of the metal gate/high-k gate dielectric interface with respect to post-deposition thermal processing. Ab initio quantum chemistry calculations address this issue, and two questions have been resolved, providing results that are consistent with the experiment. The interface between a metal gate electrode and a high gate electrode and oxide dielectric cannot be atomically abrupt after post-deposition thermal processing. Instead there must be a chemically-graded interfacial transition region that mitigates some of the benefits of replacing dual poly-Si gates by metals, except for gate-last processing. However, electrical stress and heating during device operation will be significant driving force atomic motion, and the formation of an interfacial transition region.}, number={1}, journal={THIN SOLID FILMS}, author={Lucovsky, G. and Whitten, J. L.}, year={2008}, month={Nov}, pages={343–345} } @article{lucovsky_kasap_phillips_2008, title={Defect scaling in non-crystalline floppy/under-constrained and rigid/over-constrained thin films: Applications to a-Se, a-Si, and a-Si(H)}, volume={354}, ISSN={["1873-4812"]}, DOI={10.1016/j.jnoncrysol.2007.09.048}, abstractNote={Bond constraint theory provides insights into glass and intermediate phase formation in covalently-bonded non-crystalline networks. Metrics are the average number of bonds/atom, Nav, and average number of bending and stretching constraints per atom, Cav. Cav is a linear function of Nav:Cav = 2.5Nav − 3, with ideal, low defect density glasses and thin films having values of Nav and Cav equal to 2.4, and 3.0, respectively. In over-constrained films, it has been argued and demonstrated experimentally, that the density of intrinsic defects is proportional to Nav − 2.4. In this paper we demonstrate that this scaling relationship, with the same empirical constants applies to floppy or under-constrained films with the example being a-Se where Nav has been determined from EXAFS studies and is ∼2.2. In addition we demonstrate that the density of defects in as deposited a-Si with a 6% density deficit, and broken bonding constraints, can be treated in the same way as spacing–filling over-constrained networks.}, number={19-25}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, Gerald and Kasap, Safa O. and Phillips, James C.}, year={2008}, month={May}, pages={2724–2727} } @article{lucovsky_lee_long_seo_luning_2008, title={Elimination of GeO2 and Ge3N4 interfacial transition regions and defects at n-type Ge interfaces: A pathway for formation of n-MOS devices on Ge substrates}, volume={254}, DOI={10.1016/j.apsusc.2008.03.157}, abstractNote={The contribution from relatively low-K SiON interfacial transition regions (ITRs) between Si and transition metal (TM) gate dielectrics places a significant limitation on equivalent oxide thickness (EOT) scaling for Si complementary metal-oxide-semiconductor (CMOS) devices. This limitation is equally significant and limiting for Ge CMOS devices. Low-K Ge-based ITRs in Ge devices have also been shown to limit performance and reliability, particular for n-MOS field effect transistors. This article identifies the source of significant electron trapping at interfaces between n-Ge or inverted p-Ge, and Ge oxide, nitride and oxynitride ITRs. This is shown to be an interfacial band alignment issue in which native Ge ITRs have conduction band offset energies smaller than those of TM dielectrics, and trap electrons for negative Ge substrate bias. This article also describes a novel remote plasma processing approach for effectively eliminating any significant native Ge ITRs and using a plasma-processing/annealing process sequence for bonding TM gate dielectrics directly to the Ge substrate surface.}, number={23}, journal={Applied Surface Science}, author={Lucovsky, G. and Lee, S. and Long, J. P. and Seo, H. and Luning, J.}, year={2008}, pages={7933–7937} } @article{lucovsky_phillips_2008, title={Length scale discontinuities between non-crystalline and nano-crystalline thin films: Chemical bonding self-organization, broken constraints and reductions of macroscopic strain}, volume={354}, DOI={10.1016/j.jnoncryso1.2007.09.044}, number={19-25}, journal={Journal of Non-crystalline Solids}, author={Lucovsky, G. and Phillips, J. C.}, year={2008}, pages={2702–2705} } @article{strzhemechny_bataiev_tumakha_goss_hinkle_fulton_lucovsky_brillson_2008, title={Low energy electron-excited nanoscale luminescence spectroscopy studies of intrinsic defects in HfO2 and SiO2-HfO2-SiO2-Si stacks}, volume={26}, number={1}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Strzhemechny, Y. M. and Bataiev, M. and Tumakha, S. P. and Goss, S. H. and Hinkle, C. L. and Fulton, C. C. and Lucovsky, G. and Brillson, L. J.}, year={2008}, pages={232–243} } @article{lucovsky_phillips_2008, title={Reversible chemical phase separation in on-state of art ReWritable (RW) Ge2Sb2Te5 optical phase change memories}, volume={354}, ISSN={["0022-3093"]}, DOI={10.1016/j.jnoncrysol.2007.09.059}, abstractNote={Abstract Ge 2 Sb 2 Te 5 is one of the chalcogenide alloy materials of choice for ReWritable (RW) optical discs that are currently in manufacturing; however, there are many issues relating to the physics and chemistry underpinning the switching mechanism that have as yet to be resolved. This is paper identifies important relationships between the chemical bonding in the non-crystalline phase of Ge 2 Sb 2 Te 5 , and in the face-centered-cubic (FCC) nano-crystalline alloy phase that account for the markedly different optical and electrical properties that are enabling for memory applications. The non-crystalline material is characterized as an intermediate phase (IP) with minimal (i) bond-strain and (ii) extended macroscopic-strain, and includes a precursor bonding arrangement crucial to optical and electronic RW memories. The FCC phase has nano-crystallites trapped in a metastable diphasic composite that is stabilized by the inclusion of non-crystalline GeTe x ( x  ∼ 5) nano-clusters pinned at grain boundaries.}, number={19-25}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, Gerald and Phillips, James C.}, year={2008}, month={May}, pages={2753–2756} } @article{lee_long_lucovsky_luening_2008, title={Suppression of Ge-O and Ge-N bonding at Ge-HfO2 and Ge-TiO2 interfaces by deposition onto plasma-nitrided passivated Ge substrates}, volume={517}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2008.08.099}, abstractNote={A study of changes in nano-scale morphology of thin films of nano-crystalline transition metal (TM) elemental oxides, HfO2 and TiO2, on plasma-nitrided Ge(100) substrates, and Si(100) substrates with ultra-thin (∼ 0.8 nm) plasma-nitrided Si suboxide, SiOx, x < 2, or SiON interfacial layers is presented. Near edge X-ray absorption spectroscopy (NEXAS) has been used to determine nano-scale morphology of these films by Jahn–Teller distortion removal of band-edge d-state degeneracies. These results identify a new and novel application for NEXAS based on the resonant character of the respective O K1 and N K1 edge absorptions. Their X-ray energy difference of > 150 eV is critical for this approach.}, number={1}, journal={THIN SOLID FILMS}, author={Lee, S. and Long, J. P. and Lucovsky, G. and Luening, J.}, year={2008}, month={Nov}, pages={155–158} } @article{lee_long_lucovsky_whitten_seo_luning_2008, title={Suppression of Ge-O and Ge-N bonding at Ge-HfO2 and Ge-TiO2 interfaces by deposition onto plasma-nitrided passivated Ge substrates: Integration issues Ge gate stacks into advanced devices}, volume={48}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2007.07.068}, abstractNote={A study of changes in nano-scale morphology of thin films of nano-crystalline transition metal (TM) elemental oxides, HfO2 and TiO2, on plasma-nitrided Ge(1 0 0) substrates, and Si(1 0 0) substrates with ultra-thin (∼0.8 nm) plasma-nitrided Si suboxide, SiOx, x < 2, or SiON interfacial layers is presented. Near edge X-ray absorption spectroscopy (NEXAS) has been used to determine nano-scale morphology of these films by Jahn-Teller distortion removal of band edge d-state degeneracies. These results identify a new and novel application for NEXAS based on the resonant character of the respective O K1 and N K1 edge absorptions. This paper also includes a brief discussion of the integration issues for the introduction of this Ge breakthrough into advanced semiconductor circuits and systems. This includes a comparison of nano-crystalline and non-crystalline dielectrics, as well as issues relative to metal gates.}, number={3}, journal={MICROELECTRONICS RELIABILITY}, author={Lee, S. and Long, J. P. and Lucovsky, G. and Whitten, J. L. and Seo, H. and Luning, J.}, year={2008}, month={Mar}, pages={364–369} } @article{chung_seo_long_lucovsky_2008, title={Suppression of defect states in HfSiON gate dielectric films on n-type Ge(100) substrates}, volume={93}, ISSN={["0003-6951"]}, DOI={10.1063/1.3005422}, abstractNote={Defect states in HfO2 and HfSiON films deposited on Ge(100) substrates were studied by spectroscopic ellipsometry (SE) and x-ray absorption spectroscopy (XAS). In addition, structural and compositional changes in these films were examined via medium energy ion scattering (MEIS). SE and XAS experiments revealed two distinct band edge defect states, located at 1.7±0.1eV and at 2.7±0.1 below the conduction band edges of these films. The number of defect states in HfO2 increased noticeably following postdeposition annealing (PDA), whereas in HfSiON, it showed only small increases following the same treatment. MEIS measurements showed that Ge diffusion into HfO2 films was enhanced significantly by PDA as well; however, this effect was less pronounced in the HfSiON films. The suppression of defect state enhancement in HfSiON films was correlated with lower levels of Ge diffusion and increased structural stability with respect to HfO2.}, number={18}, journal={APPLIED PHYSICS LETTERS}, author={Chung, K. B. and Seo, H. and Long, J. P. and Lucovsky, G.}, year={2008}, month={Nov} } @article{lucovsky_phillips_2007, title={A new class of intermediate phases in non-crystalline films based on a confluent double percolation mechanism}, volume={19}, number={45}, journal={Journal of Physics. Condensed Matter}, author={Lucovsky, G. and Phillips, J. C.}, year={2007} } @article{lucovsky_phillips_2007, title={A self-consistent model for defect states in a-Si and a-Si : H}, volume={18}, journal={Journal of Materials Science. Materials in Electronics.}, author={Lucovsky, G. and Phillips, J.}, year={2007}, pages={S463–467} } @misc{lucovsky_2007, title={Band edge electronic structure of transition metal/rare earth oxide dielectrics}, volume={106}, journal={Rare Earth Oxide Thin Films: Growth , Characterization , and Applications}, publisher={Berlin: Springer-Verlag Berlin}, author={Lucovsky, G.}, year={2007}, pages={285–311} } @article{paesler_baker_lucovsky_taylor_washington_2007, title={Bond constraint theory and EXAFS studies of local bonding structures of Ge2Sb2Te4, Ge2Sb2Te5, and Ge2Sb2Te7}, volume={9}, number={10}, journal={Journal of Optoelectronics and Advanced Materials}, author={Paesler, M. A. and Baker, D. A. and Lucovsky, G. and Taylor, P. C. and Washington, J. S.}, year={2007}, pages={2996–3001} } @article{lucovsky_phillips_2007, title={Chemical self-organization length scales in non- and nano-crystalline thin films}, volume={51}, ISSN={["1879-2405"]}, DOI={10.1016/j.sse.2007.06.001}, abstractNote={This paper identifies different length scales λs for strain-reducing chemical bonding self-organizations (CBSO) in non-crystalline and nano-crystalline thin films. CBSOs are differentiated spectroscopically, and explained by semi-empirical bond-constraint theory (SE-BCT). Non-crystalline thin film CBSOs are characterized by molecular scale, strain-reducing chemical ordering with λs > 0.6 nm, and extending to at most 1 nm. The non-random bonding results in reduced defect densities that are enabling for device applications. Nano-crystalline transition metal oxide thin films display qualitatively different properties in two distinct nano-scale regimes in which the length scale metric is defined by π-bond coupling between atoms in strings of neighboring primitive unit cells (PUCs): (i) type I with nano-grain dimensions and λs ∼ 2 nm (or <4 PUCs), and (ii) type 2 with nano-grain sizes and λs > 3–4 nm (>6 PUCs). There are also diphasic nano-crystalline/non-crystalline technologically important thin films in which strain percolation is also minimized by CBSOs that combine molecular and PUC scales of order. Representative non-crystalline, nano-crystalline and diphasic nano-crystalline/non-crystalline thin film materials with qualitatively different behaviors and degrees of phase stability/metastability are addressed.}, number={10}, journal={SOLID-STATE ELECTRONICS}, author={Lucovsky, G. and Phillips, J. C.}, year={2007}, month={Oct}, pages={1308–1318} } @article{lucovsky_seo_lee_fleming_ulrich_luning_2007, title={Defect reduction by suppression of pi-bonding coupling in nano- and non-crystalline high-(medium)-kappa gate dielectrics}, volume={84}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2007.04.062}, abstractNote={This paper identifies two-different regimes of nanocrystallinity: i) thin films with nanocrystallites >3 nm, and ii) thin films with nanocrystallites ⩽2 nm. Near edge X-ray absorption spectroscopy, and soft-X-ray photoelectron spectroscopy, combined with visible and ultra-violet spectroscopic ellipsometry, provide an unambiguous way to distinguish between these two technologically important regimes of nanocrystalline order, yielding significant information on band edge electronic structure, and electronically-active defects.}, number={9-10}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G. and Seo, H. and Lee, S. and Fleming, L. B. and Ulrich, M. D. and Luning, J.}, year={2007}, pages={2350–2353} } @article{lucovsky_phillips_2007, title={Defect reduction in non-crystalline and nano-crystalline thin films: chemical bonding self-organizations and minimization of macroscopic strain}, volume={9}, number={10}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G. and Phillips, J. C.}, year={2007}, pages={2989–2995} } @article{paesler_baker_lucovsky_edwards_taylor_2007, title={EXAFS study of local order in the amorphous chalcogenide semiconductor Ge2Sb2Te5}, volume={68}, ISSN={["1879-2553"]}, DOI={10.1016/j.jpcs.2007.03.041}, abstractNote={Studies of amorphous (a-) semiconductors have been driven by technological advances as well as fundamental theories. Observation of electrical switching, for example, fueled early interest in a-chalcogenides. More recently switching of the a-chalcogenide Ge2Sb2Te5 has been applied quite successfully to DVD technology where the quest for the discovery of better-suited materials continues. Thus, switching provides researchers today with an active arena of technological as well as fundamental study. On the theoretical front, bond constraint theory — or BCT — provides a powerful framework for understanding the structure and properties of a-materials. Applications of BCT to switching in Ge2Sb2Te5 holds the promise of finding the best composition suited for switching applications. This work presents EXAFS data that describe local bonding configurations in as-deposited Ge2Sb2Te5. The data show that Ge2Sb2Te5 may best be viewed as a random array of Ge2Te3 and Sb2Te3 structural units imbedded in a tissue of a-Te, 17% of which is over coordinated. In addition, a valence alternation pair defect is introduced to the model to satisfy charge conservation constraints.}, number={5-6}, journal={JOURNAL OF PHYSICS AND CHEMISTRY OF SOLIDS}, author={Paesler, M. A. and Baker, D. A. and Lucovsky, G. and Edwards, A. E. and Taylor, P. C.}, year={2007}, pages={873–877} } @article{maeda_sakai_sakai_ikari_munzar_tonchev_kasap_lucovsky_2007, title={Effect of Ga on the structure of Ge-Se-Ga glasses from thermal analysis, Raman and XPS measurements}, volume={18}, journal={Journal of Materials Science. Materials in Electronics.}, author={Maeda, K. and Sakai, T. and Sakai, K. and Ikari, T. and Munzar, M. and Tonchev, D. and Kasap, S. O. and Lucovsky, G.}, year={2007}, pages={S367–370} } @article{lucovsky_baker_paesler_phillips_thorpe_2007, title={Intermediate phases in binary and ternary alloys. How far can we go with a semi-empirical bond-constraint theory?}, volume={9}, number={10}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G. and Baker, D. A. and Paesler, M. A. and Phillips, J. C. and Thorpe, M. F.}, year={2007}, pages={2979–2988} } @article{lucovsky_phillips_2007, title={Intermediate phases in binary and ternary alloys: a new perspective on semi-empirical bond constraint theory}, volume={19}, number={45}, journal={Journal of Physics. Condensed Matter}, author={Lucovsky, G. and Phillips, J. C.}, year={2007} } @article{lucovsky_seo_lee_fleming_ulrich_luning_lysaght_bersuker_2007, title={Intrinsic electronically active defects in transition metal elemental oxides}, volume={46}, ISSN={["0021-4922"]}, DOI={10.1143/JJAP.46.1899}, abstractNote={Densities of interfacial and bulk defects in high-κ dielectrics are typically about two orders of magnitude larger than those in Si–SiO2 devices. An asymmetry in electron and hole trapping kinetics, first detected in test capacitor devices with nanocrystalline ZrO2 and HfO2 dielectrics, is a significant potential limitation for Si device operation and reliability in complementary metal oxide semiconductor applications. There are two crucial issues: i) are the electron and hole traps intrinsic defects, or are they associated with processed-introduced impurities?, and ii) what are the local atomic bonding arrangements and electronic state energies of these traps? In this study, thin film nanocrystalline high-κ gate dielectrics, TiO2, ZrO2, and HfO2 (group IVB TM oxides), are investigated spectroscopically to identify the intrinsic electronic structures of valence and conduction band states, as well as those of intrinsic bonding defects. A quantitative/qualitative distinction is made between crystal field and Jahn–Teller (J–T) d-state energy differences in nanocrystralline TM elemental oxides, and noncrystalline TM silicates and Si oxynitrides. It is experimentally shown and theoretically supported that a length scale for nanocrystallite size <2–3 nm i) eliminates J–T d-state term splittings in band edge π-bonded d-states, and ii) represents a transition from the observation of discrete band edge defects to band-tail defects. Additionally, π-state bonding coherence can also be disrupted with similar effects on band edge and defect states in HfO2 films which have been annealed in NH3 at 700 °C, and display Hf–N bonds in N atom K1 edge X-ray absorption spectra.}, number={4B}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS}, author={Lucovsky, Gerald and Seo, Hyungtak and Lee, Sanghyun and Fleming, Leslie B. and Ulrich, Marc D. and Luning, Jan and Lysaght, Pat and Bersuker, Gennadi}, year={2007}, month={Apr}, pages={1899–1909} } @article{lucovsky_2007, title={Jahn-Teller d-state term splittings in Ti, Zr, and Hf elemental oxides: Intrinsic bonding/anti-bonding states and conduction/valence band edge intrinsic defects}, volume={838}, ISSN={["1872-8014"]}, DOI={10.1016/j.molstruc.2007.01.010}, abstractNote={Densities of interfacial and bulk defects in high-κ dielectrics are typically at least two orders of magnitude higher than in Si–SiO2 devices. An asymmetry in hole and electron trapping is a significant limitation for Si device operation and reliability. There are two critical issues: (i) are these defects intrinsic, or are they associated with processed introduced impurities? and (ii) what are local atomic arrangements and electronic structure at these defect sites, and can these defects be reduced? This paper presents spectroscopic studies of thin film nanocrystalline high-κ gate dielectrics: TiO2, ZrO2, and HfO2 (the IVB TM oxides) that have identified the electronic structure of intrinsic bonding states and, the intrinsic bonding defects as well. The multiplicity of d-state spectral features are interpreted in terms of Jahn–Teller (J–T) term splittings. Conduction band d-states and defects in films >3 nm display Jahn–Teller d-state splittings, and these have been eliminated in three ways; (i) by reducing film thickness to less than about 2.5 nm, (ii) by SiO2 inclusions in phase separated Hf and Zr silicates with >50–60% TM oxide content, and (iii) by incorporation N-atoms into HfO2 by 700 °C annealing in NH3.}, number={1-3}, journal={JOURNAL OF MOLECULAR STRUCTURE}, author={Lucovsky, Gerry}, year={2007}, month={Jul}, pages={187–192} } @article{seo_lucovsky_fleming_ulrich_luning_koster_geballe_2007, title={Length scales for coherent pi-bonding interactions in complex high-k oxide dielectrics and their interfaces}, volume={84}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2007.04.069}, abstractNote={This paper uses X-ray absorption and vacuum ultra-violet spectroscopic ellipsometry to distinguish between non-crystallinity, and the suppression of Jahn-Teller splittings that identify a scale of order metric, λs, of ∼3 nm for distinguishing between i) nanocrystalline-order that can be detected by x-ray diffraction for λs > 3-4 nm, and ii) reduced nanocrystalline order that can be detected by atomic-scale imaging and extended X-ray absorption spectroscopy for λs < ∼2.5 nm. This approach is first applied to elemental transition metal oxides, and then to complex oxides and complex oxide alloys.}, number={9-10}, journal={MICROELECTRONIC ENGINEERING}, author={Seo, H. and Lucovsky, G. and Fleming, L. B. and Ulrich, M. D. and Luning, J. and Koster, G. and Geballe, T. H.}, year={2007}, pages={2298–2301} } @article{baker_paesler_lucovsky_2007, title={Local bonding arrangements in amorphous Ge2Sb2Te5: the importance of Ge and Te bonding}, volume={18}, ISSN={["1573-482X"]}, DOI={10.1007/s10854-007-9233-5}, journal={JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS}, author={Baker, D. A. and Paesler, M. A. and Lucovsky, G.}, year={2007}, month={Oct}, pages={S399–S403} } @article{lucovsky_whitten_2007, title={Metal gate electrodes for devices with high-k gate dielectrics: Zr/ZrO2 and Hf/HfO2 intrinsic interfacial transition regions}, volume={84}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2007.04.073}, abstractNote={Ab initio quantum mechanical calculations have been performed on cluster models of Zr/ZrO2 and Hf/HfO2 interfaces. These calculations give detailed predictions of the electronic structure of the interface from which electrical properties can be inferred; e.g., work function, surface dipoles, barriers to electron transport, etc.. The results are compared with and also serve to calibrate electronegativity arguments that lead to predictions of surface dipole changes when Zr or Hf metals are deposited on the respective elemental oxides. In the present work the cluster describing the Zr/ZrO2 interface was embedded in an electrostatic field that simulates more distant Madelung contributions, and removes unphysical solutions as well.}, number={9-10}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G. and Whitten, J. L.}, year={2007}, pages={2259–2262} } @article{lucovsky_whitten_2007, title={Metal gate electrodes: Theoretical studies of Zr/ZrO2 and Hf/HfO2 interfaces}, volume={601}, ISSN={["0039-6028"]}, DOI={10.1016/j.susc.2007.04.057}, abstractNote={Ab initio quantum mechanical calculations have been performed on cluster models of Zr/ZrO2 and Hf/HfO2 interfaces. The theoretical method is a first principles method in which an exact electrostatic Hamiltonian is employed, except for core electron effective potentials, and wavefunctions are constructed by a self-consistent-field (SCF) method in which ionic correlation is included by local configuration interaction (CI). The outcome of the calculations is a detailed prediction of the electronic structure of the interface from which electrical properties can be inferred; e.g., work function, surface dipoles, barriers to electron transport, etc.. The results are compared with and also serve to calibrate electronegativity arguments that lead to predictions of surface dipole changes when Zr or Hf metals are deposited on the respective elemental oxides. In the present work the cluster describing the Zr/ZrO2 interface was embedded in an electrostatic field that simulates more distant Madelung contributions, and removes unphysical solutions as well.}, number={18}, journal={SURFACE SCIENCE}, author={Lucovsky, G. and Whitten, J. L.}, year={2007}, month={Sep}, pages={4138–4143} } @article{siemons_koster_yamamoto_harrison_lucovsky_geballe_blank_beasley_2007, title={Origin of charge density at LaAlO3 on SrTiO3 heterointerfaces: Possibility of intrinsic doping}, volume={98}, ISSN={["0031-9007"]}, DOI={10.1103/physrevlett.98.196802}, abstractNote={As discovered by Ohtomo and Hwang, a large sheet charge density with high mobility exists at the interface between SrTiO3 and LaAlO3. Based on transport, spectroscopic, and oxygen-annealing experiments, we conclude that extrinsic defects in the form of oxygen vacancies introduced by the pulsed laser deposition process used by all researchers to date to make these samples is the source of the large carrier densities. Annealing experiments show a limiting carrier density. We also present a model that explains the high mobility based on carrier redistribution due to an increased dielectric constant.}, number={19}, journal={PHYSICAL REVIEW LETTERS}, author={Siemons, Wolter and Koster, Gertjan and Yamamoto, Hideki and Harrison, Walter A. and Lucovsky, Gerald and Geballe, Theodore H. and Blank, Dave H. A. and Beasley, Malcolm R.}, year={2007}, month={May} } @article{lucovsky_baker_paesler_phillips_2007, title={Spectroscopic and electrical detection of intermediate phases and chemical bonding self-organizations in (i) dielectric films for semiconductor devices, and (ii) chalcogenide alloys for optical memory devices}, volume={353}, ISSN={["1873-4812"]}, DOI={10.1016/j.jnoncrysol.2007.01.041}, abstractNote={This paper presents a discussion of intermediate phases in thin film materials that have been incorporated into liquid crystal displays, LCDs, and optical memory thin film devices. The formation of intermediate phases in the a-Si3N4:H (a-Si:N:H) alloys used for gate dielectrics in thin film transistors, TFTs, of LCDs, and the a-Ge–Sb–Te (GST) alloys used for read-write optical writing and storage in optical memory discs are qualitatively different than those first addressed by the Boolchand group in Ge–Se bulk glass alloys. In the a-Si:N:H and a-GST thin films, the chemical self-organizations that suppress percolation of strain, involve chemically-ordered bonding arrangements that break bond bending constraints at the four-fold coordinated Si and Ge atoms in a-Si:N:H and a-GST, respectively. In the GST alloys, this results in over-coordinated and under-coordinated atomic constituents, or valence alternation pairs, VAPs, of charged defects. Finally, other technologically important systems in which broken constraints, and/or VAP defects are important in intermediate phase formation include group IVB (Ti, Zr and Hf) Si oxynitride alloys, and hydrogenated amorphous Si (a-Si:H).}, number={18-21}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G. and Baker, D. A. and Paesler, M. A. and Phillips, J. C.}, year={2007}, month={Jun}, pages={1713–1722} } @article{lucovsky_luening_fleming_ulrich_rowe_seo_lee_lysaght_bersuker_2007, title={Spectroscopic studies of O-vacancy defects in transition metal oxides}, volume={18}, ISSN={["1573-482X"]}, DOI={10.1007/s10854-007-9192-x}, journal={JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS}, author={Lucovsky, G. and Luening, J. and Fleming, L. B. and Ulrich, M. D. and Rowe, J. E. and Seo, H. and Lee, S. and Lysaght, P. and Bersuker, G.}, year={2007}, month={Oct}, pages={S263–S266} } @article{lucovsky_seo_fleming_luening_lysaght_bersuker_2007, title={Studies of bonding defects, and defect state suppression in HfO2 by soft X-ray absorption and photoelectron spectroscopies}, volume={601}, ISSN={["1879-2758"]}, DOI={10.1016/j.susc.2007.04.197}, abstractNote={This paper identifies two-different regimes of nano-crystallinity: (i) thin films with nano-crystallites >3 nm, that display coherent well-defined grain-boundaries, and (ii) thin films with nano-crystallites less than ∼2 nm, that display neither will-defined grain-boundaries nor lattice planes in high resolution transmission electron microscopy images, but yield an image indicative of clusters of small nano-crystallites with a length scale order of ∼2 nm. Near edge X-ray absorption spectroscopy, and soft-X-ray photoelectron spectroscopy, combined with visible and UV spectroscopic ellipsometry, provide an unambiguous way to distinguish between these two technologically important regimes of nano-crystalline order, yielding significant information on electronic structure of intrinsic band edge states and intrinsic electronically-active defects.}, number={18}, journal={SURFACE SCIENCE}, author={Lucovsky, G. and Seo, H. and Fleming, L. B. and Luening, J. and Lysaght, P. and Bersuker, G.}, year={2007}, month={Sep}, pages={4236–4241} } @article{chen_mamouni_zhou_schrimpf_fleetwood_galloway_lee_seo_lucovsky_jun_et al._2007, title={Total dose and bias temperature stress effects for HfSiON on Si MOS capacitors}, volume={54}, ISSN={["0018-9499"]}, DOI={10.1109/TNS.2007.910862}, abstractNote={We have performed an experimental study of the effects of ionizing radiation and bias-temperature stress on Si MOS devices with HfSiON gate dielectrics. We compare the responses of homogeneous high-SiN films and low-SiN films that contain crystalline HfO. We observe that the low-SiN films are more sensitive to ionizing radiation than the high-SiN films. In particular, the low-SiN film that includes crystalline HfO is especially vulnerable to electron trapping due to substrate injection under positive irradiation bias. Both film types exhibit reduced radiation-induced charge trapping relative to previous Hf silicates. The high-SiN film exhibits less radiation-induced net oxide-trap charge density than earlier Hf silicate films processed without nitride. We also find that these devices are relatively robust against bias-temperature stress instabilities. Consistent with the radiation response, the low-SiN devices also display elevated levels of charge trapping relative to the high-SiN devices during bias-temperature stress.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Chen, D. K. and Mamouni, E. E. and Zhou, X. J. and Schrimpf, R. D. and Fleetwood, D. M. and Galloway, K. F. and Lee, S. and Seo, H. and Lucovsky, G. and Jun, B. and et al.}, year={2007}, month={Dec}, pages={1931–1937} } @article{zeman_fulton_lucovsky_nemanich_yang_2006, title={"Thermal stability of TiO2, ZrO2, or HfO2 on Si(100) by photoelectron emission microscopy" (vol 99, pg 023519, 2006)}, volume={99}, ISSN={["0021-8979"]}, DOI={10.1063/1.2201707}, abstractNote={First Page}, number={10}, journal={JOURNAL OF APPLIED PHYSICS}, author={Zeman, MC and Fulton, CC and Lucovsky, G and Nemanich, RJ and Yang, WC}, year={2006}, month={May} } @article{fulton_edge_lucovsky_luning_2006, title={A study of conduction band edge states in complex oxides by X-ray absorption spectroscopy}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2005.07.045}, abstractNote={Conduction band edge d-states are compared for complex oxides: (i) mixed tetravalent–trivalent ZrO2–Y2O3 alloys, (ii) tetravalent Zr(Hf)O2–TiO2 alloys, and (iii) trivalent La scandate and aluminate. Low Y2O3 content cubic ZrO2–Y2O3 alloys display two crystal-field split 4d-features in O K1 spectra. Alloys with higher Y2O3 content, as well as Zr(Hf)O2–TiO2 alloys display increased d-state multiplicity. O K1 spectra of perovskite-structured LaScO3 and LaAlO3 indicate Jahn–Teller d-state term-splittings with contributions from both trivalent atomic species.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Fulton, C. C. and Edge, L. F. and Lucovsky, G. and Luning, J.}, year={2006}, month={Nov}, pages={1934–1938} } @article{baker_paesler_lucovsky_agarwal_taylor_2006, title={Application of bond constraint theory to the switchable optical memory material Ge2Sb2Te5}, volume={96}, ISSN={["0031-9007"]}, DOI={10.1103/physrevlett.96.255501}, abstractNote={A new extended x-ray-absorption fine structure spectroscopy study of local bonding identifies for the first time significant concentrations of Ge-Ge bonds in amorphous Ge2Sb2Te5. The study provides a new understanding of the local molecular structure of this phase-change material. Application of bond constraint theory indicates that the amorphous phase is an ideal network structure in which the average number of constraints per atom equals the network dimensionality. Analysis within this framework imparts new and significant insights concerning the nature of the reversible optically driven amorphous-crystalline phase transition of Ge2Sb2Te5.}, number={25}, journal={PHYSICAL REVIEW LETTERS}, author={Baker, DA and Paesler, MA and Lucovsky, G and Agarwal, SC and Taylor, PC}, year={2006}, month={Jun} } @article{lucovsky_2006, title={Band edge electronic structure of transition metal/rare earth oxide dielectrics}, volume={253}, ISSN={["1873-5584"]}, DOI={10.1016/j.apsusc.2006.06.001}, abstractNote={This article addresses band edge electronic structure of transition metal/rare earth (TM/RE) non-crystalline and nano-crystalline elemental and complex oxide high-k dielectrics for advanced semiconductor devices. Experimental approaches include X-ray absorption spectroscopy (XAS) from TM, RE and oxygen core states, photoconductivity (PC), and visible/vacuum ultra-violet (UV) spectroscopic ellipsometry (SE) combined with ab initio theory is applied to small clusters. These measurements are complemented by Fourier transform infra-red absorption (FTIR), X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). Two issues are highlighted: Jahn–Teller term splittings that remove d-state degeneracies of states at the bottom of the conduction band, and chemical phase separation and crystallinity in Zr and Hf silicates and ternary (Zr(Hf)O2)x(Si3N4)y(SiO2)1−x−y alloys. Engineering solutions for optimization of both classes of high-k dielectric films, including limits imposed on the continued and ultimate scaling of the equivalent oxide thickness (EOT) are addressed.}, number={1}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, Gerald}, year={2006}, month={Oct}, pages={311–321} } @article{ulrich_rowe_keister_2006, title={Comparison of ultrathin SiO2/Si(100) and SiO2/Si(111) interfaces from soft x-ray photoelectron spectroscopy}, volume={24}, ISSN={["2166-2746"]}, DOI={10.1116/1.2218865}, abstractNote={The limitations of soft x-ray photoelectron spectroscopy (SXPS) for determining structural information of the SiO2∕Si interface for device-grade ultrathin (∼6–22Å) films of SiO2 prepared from crystalline silicon by remote plasma assisted oxidation are explored. The main focus of this article is the limitation of data analysis and sensitivity to structural parameters. In particular, annealing data shows a significant decrease in the integrated density of suboxide bonding arrangements as determined from analysis of SXPS data. These decreases and changes are interpreted as evidence for reorganization of specific interface bonding arrangements due to the annealing process. Moreover, these results suggest that sample preparation and processing history are both critical for defining the nature of the SiO2∕Si interface, and therefore its electrical properties. Quantitative estimates of the interface state densities are derived from SXPS data revealing ∼2 monolayers (ML) of suboxide as prepared and ∼1.5 ML of suboxide after rapid thermal annealing at 900°C for both Si(100) and Si(111) substrates. Comparison of the individual suboxide bonding state densities indicate for both Si substrate crystallographic orientations that annealing causes a self-organization of the suboxide consistent with bond constraint theory.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Ulrich, M. D. and Rowe, J. E. and Keister, J. W.}, year={2006}, pages={2132–2137} } @article{edge_schlom_stemmer_lucovsky_luning_2006, title={Detection of nanocrystallinity by X-ray absorption spectroscopy in thin film transition metal/rare-earth atom, elemental and complex oxides}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2006.05.005}, abstractNote={Nanocrystallinity has been detected in the X-ray absorption spectra of transition metal and rare-earth oxides by (i) removal of d-state degeneracies in the (a) Ti and Sc L3 spectra of TiO2 and LaScO3, respectively, and (b) O K1 spectra of Zr(Hf)O2, Y2O3, LaScO3 and LaAlO3, and by the (ii) detection of the O-atom vacancy in the O K1 edge ZrO2–Y2O3 alloys. Spectroscopic detection is more sensitive than X-ray diffraction with a limit of ∼2 nm as compared to >5 mm. Other example includes detection of ZrO2 nanocrystallinity in phase-separated Zr(Hf) silicate alloys.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Edge, L. F. and Schlom, D. G. and Stemmer, S. and Lucovsky, G. and Luning, J.}, year={2006}, month={Nov}, pages={1608–1612} } @article{lucovsky_fleetwood_lee_seo_schrimpf_felix_luning_fleming_ulrich_aspnes_2006, title={Differences between charge trapping states in irradiated nano-crystalline HfO2 and non-crystalline Hf silicates}, volume={53}, ISSN={["1558-1578"]}, DOI={10.1109/TNS.2006.886211}, abstractNote={This paper provides an explanation for qualitative differences between radiation-induced charge trapping states in nano-crystalline HfO 2 and non-crystalline Hf silicate alloys in high-kappa gate stacks by combining electrical measurements with spectroscopic studies and theory. Differences in the observed electrical response to X-ray and gamma-ray irradiation are consistent with fundamental differences in electronic structures between high-kappa dielectrics that are nano-crystalline and have a film thickness in excess of 4 nm, and high-kappa dielectrics that are non-crystalline and devoid of grain boundaries. Oxygen vacancy and interstitial defects are shown to be natural candidates for the electron and hole traps in these high-kappa dielectrics}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Lucovsky, G. and Fleetwood, D. M. and Lee, S. and Seo, H. and Schrimpf, R. D. and Felix, J. A. and Luning, J. and Fleming, L. B. and Ulrich, M. and Aspnes, D. E.}, year={2006}, month={Dec}, pages={3644–3648} } @article{baker_paesler_lucovsky_taylor_2006, title={EXAFS study of amorphous Ge2Sb2Te5}, volume={352}, ISSN={["1873-4812"]}, DOI={10.1016/j.jnoncrysol.2005.11.079}, abstractNote={Extended X-ray absorption fine structure (EXAFS) studies of sputtered amorphous pristine thin films of Ge2Sb2Te5 reveal only four of the six possible bond pairs. That is, we find no successful fit to EXAFS data showing Ge–Sb or Te–Te bonds. Furthermore, our data indicate Ge is fully four-fold coordinated (N = 4) while both Sb and Te are over-coordinated with respective N values of 3.3 and 2.4. In Ge–Sb–Te alloys we note that the difference in magnitude of the optical transmissivity between the amorphous and crystalline states of is proportional to the fraction of three-fold coordinated Te.}, number={9-20}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Baker, D. A. and Paesler, M. A. and Lucovsky, G. and Taylor, P. C.}, year={2006}, month={Jun}, pages={1621–1623} } @article{paesler_baker_lucovsky_edwards_taylor_2006, title={EXAFS study of local order in the amorphous chalcogenide semiconductor Ge2Sb2Te5}, volume={8}, number={6}, journal={Journal of Optoelectronics and Advanced Materials}, author={Paesler, M. A. and Baker, D. A. and Lucovsky, G. and Edwards, A. E. and Taylor, P. C.}, year={2006}, pages={2039–2043} } @article{fulton_lucovsky_nemanich_2006, title={Electronic properties of the Zr-ZrO2-SiO2-Si(100) gate stack structure}, volume={99}, ISSN={["1089-7550"]}, DOI={10.1063/1.2181282}, abstractNote={The interface electronic structure of a layered Zr–ZrO2–SiO2–Si(100) system was studied with x-ray (hν=1254eV) and ultraviolet (hν=21.2eV) photoemission spectroscopies. In situ growth and characterization allow the structures to be deposited and studied in a stepwise manner without the risk of contamination. This study discusses the electronic properties including electron affinities and work functions, valence band maxima, band bending in the Si, and internal fields in a layered high-κ gate stack. With this information the band alignments can be reconstructed and compared to predictions of the vacuum alignment models (i.e., the Schottky-Mott model for metal-semiconductor interfaces or the electron affinity model for heterojunctions) and the interface induced gap states model. The vacuum alignment models are first order approaches to determine the electronic barrier height for a heterojunction, and interface bonding can contribute to charge transfer across the interface, affecting the dipole contribution and altering the barrier heights. In this study, the band offsets and vacuum levels are independently measured, thereby determining the deviation from the vacuum level alignment models. The valence band offsets at the Si–SiO2, SiO2–ZrO2, and ZrO2–Zr are found to be 4.4±0.1, 0.67±0.24, and 4.9±0.44eV, respectively. For these same interfaces the deviations from the electron affinity or Schottky-Mott model are determined to be 0.2±0.14, −1.43±0.29, and 1.3±0.39eV, respectively.}, number={6}, journal={JOURNAL OF APPLIED PHYSICS}, author={Fulton, CC and Lucovsky, G and Nemanich, RJ}, year={2006}, month={Mar} } @article{lucovsky_seo_fleming_ulrich_luning_lysaght_bersuker_2006, title={Intrinsic bonding defects in transition metal elemental oxides}, volume={46}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2006.07.032}, abstractNote={Gate dielectrics comprised of nanocrystalline HfO2 in gate stacks with thin SiO2/SiON interfacial transition regions display significant asymmetries with respect to trapping of Si substrate injected holes and electrons. Based on spectroscopic studies, and guided by ab initio theory, electron and hole traps in HfO2 and other transition metal elemental oxides are assigned to O-atom divacancies, clustered at internal grain boundaries. Three engineering solutions for defect reduction are identified: i) deposition of ultra-thin, <2 nm, HfO2 dielectric layers, in which grain boundary formation is suppressed by effectively eliminating inter-primitive unit cell π-bonding interactions, ii) chemically phase separated high HfO2 silicates in which inter-primitive unit cell p-bonding interactions are suppressed by the two nanocrystalline grain size limitations resulting from SiO2 inclusions, and iii) non-crystalline Zr/Hf Si oxynitrides without grain boundary defects.}, number={9-11}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G. and Seo, H. and Fleming, L. B. and Ulrich, M. D. and Luning, J. and Lysaght, P. and Bersuker, G.}, year={2006}, pages={1623–1628} } @article{lucovsky_hinkle_fulton_stoute_seo_luning_2006, title={Intrinsic nanocrystalline grain-boundary and oxygen atom vacancy defects in ZrO2 and HfO2}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2005.07.062}, abstractNote={Defects ∼0.5–0.8 eV below the conduction band edge, contributing to trap-assisted tunneling and Frenkel–Poole transport have been reported for injection from n-type Si into SiO2–HfO2 dielectrics. Band edge spectroscopic measurements, combined with X-ray absorption spectroscopy, have identified localized defect states at this energy below the conduction band edges of HfO2, and ZrO2. Capacitance–voltage and cathodoluminescence studies, combined with band edge spectroscopy have identified an interfacial trap associated with oxygen atom vacancies as well.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Lucovsky, G. and Hinkle, C. L. and Fulton, C. C. and Stoute, N. A. and Seo, H. and Luning, J.}, year={2006}, month={Nov}, pages={2097–2101} } @article{lucovsky_phillips_2006, title={Network disruption and modification in arsenic and germanium chalcogenides by the addition of univalent metal sulfides and selenides: Comparisons with network disruption and modification in zirconium silicate alloys}, volume={352}, ISSN={["0022-3093"]}, DOI={10.1016/j.jnoncrysol.2005.12.022}, abstractNote={The addition of the tetravalent metal oxide, ZrO2, into non-crystalline (nc-) SiO2 to form thin film nc-Zr silicates, and the univalent metal sulfides (selenides), (Cu,Ag)2S(Se), into nc-As2S(Se)3 and -GeS(Se)2 networks to form nc-thin film and/or bulk Ag and Cu chalcogenide alloys are compared. The bonding coordination of representative metal-atoms, generally (i) 4 for Cu, (ii) 3 for Ag, and (ii) up to 8 for Zr, do not obey the Mott 8-N rule bonding for the Si, Ge, As, and O and chalcogenide atoms in their respective host networks. These break-downs of 8-N bonding for metal atoms are accompanied by increases in the coordination of O-atoms from 2 to 3 in Zr silicate alloys to accommodate increased bond ionicity, and increases of 2–4 for S(Se)-atoms in Ag and Cu chalcogenide alloys to accommodate covalent bonding requirements in tetrahedral arrangements.}, number={9-20}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G. and Phillips, J. C.}, year={2006}, month={Jun}, pages={1534–1538} } @article{lucovsky_phillips_2006, title={Reduction of bonding constraints by self-organization in gate dielectrics for a-Si : H thin film transistors (TFTs) and crystalline Si field effect transistors (FETs)}, volume={352}, ISSN={["1873-4812"]}, DOI={10.1016/j.jnoncrysol.2005.11.130}, abstractNote={Studies of binary chalcogenide alloys have established that the onset of mean-field rigidity is generally delayed by a chemical self-organization, resulting in an intermediate phase with deviations from mean-field bonding. In GexSe1−x, the onset of rigidity occurs for a mean field coordination, rc, = 2.4 at x = 0.2, but percolation of stress is delayed until rc = 2.52. For SixNyHz plasma-deposited thin films, self-organization occurs during deposition at 300 °C, and for (SiO2)x(Si3N4)y(ZrO2)z thin films, it occurs during annealing at about 900 °C. Thin films in the respective self-organized intermediate phase have low defect densities, and also display low defect densities at semiconductor interfaces. The hydrogenated silicon nitride films are used as gate dielectrics in a-Si:H thin film transistors, and the Zr Si oxynitride films are used in crystalline Si field effect transistors.}, number={9-20}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G. and Phillips, J. C.}, year={2006}, month={Jun}, pages={1711–1714} } @article{lucovsky_phillips_2006, title={Reduction of bulk and interface defects by network self-organizations in gate dielectrics for silicon thin film and field effect transistors (TFTs and FETs, respectively)}, volume={352}, ISSN={["1873-4812"]}, DOI={10.1016/j.jnoncrysol.2006.03.123}, abstractNote={Studies of binary chalcogenide alloys have established that the onset of network rigidity is generally delayed by a network self-organization resulting in an intermediate phase with significant deviations from mean-field chemical bonding. In GexSe1−x, the onset of local chemical bonding rigidity occurs for a mean-field coordination, rc = 2.4 at x = 0.2, but percolation of stress resulting in network rigidity is delayed until rc = 2.52. This paper demonstrates that low levels of electrically active defects in gate dielectrics for (i) thin film transistors (TFTs) in liquid crystal displays (LCDs), and (ii) aggressively-scaled metal- oxide-semiconductor field effect transistors (MOSFETs) are derived from similar network self-organizations that occur for a narrow range of dielectric compositions. The dielectrics of this article are non-crystalline (nc-) SixNyHz alloys in which a chemical self-organization occurs during deposition at 300 °C, and nc-(SiO2)x(Si3N4)y(ZrO2)z alloys in which it occurs during post-deposition annealing at ∼900 °C. For each of these alloys, the values of x, y and z, are approximately 0.3, 0.4 and 0.3.}, number={42-49}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G. and Phillips, J. C.}, year={2006}, month={Nov}, pages={4509–4516} } @article{lucovsky_phillips_2006, title={Reduction of defects by network self-organizations in non-crystalline dielectrics and semiconductors: a tribute to Professor Radu Grigorovici on the occasion of his 95(th) birthday}, volume={8}, number={6}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G. and Phillips, J. C.}, year={2006}, pages={1969–1978} } @article{lucovsky_fulton_ju_stoute_tao_aspnes_luening_2006, title={Suppression of Jahn-Teller term-split band edge states in the x-ray absorption spectra of non-crystalline Zr silicates and Si oxynitride alloys, and alloys of ZrO2 with Y2O3}, volume={75}, ISSN={["0969-806X"]}, DOI={10.1016/j.radphyschem.2006.05.004}, abstractNote={Jahn–Teller (J–T) term-split states in nanocrystalline transition metal and trivalent rare earth elemental and complex oxides reduce the band gap, and tunnelling barrier height at interfaces with crystalline Si substrates. These states are identified by x-ray absorption spectroscopy and spectroscopic ellipsometry. Alloys for suppression of J–T d-state degeneracy removal are identified as: (i) non-crystalline Zr/Hf silicates and Si oxynitrides and (ii) ZrO2–Y2O3 alloys with high concentrations of randomly distributed O-vacancies that promote cubic crystalline symmetry.}, number={11}, journal={RADIATION PHYSICS AND CHEMISTRY}, author={Lucovsky, G. and Fulton, C. C. and Ju, B. S. and Stoute, N. A. and Tao, S. and Aspnes, D. E. and Luening, J.}, year={2006}, month={Nov}, pages={1591–1595} } @article{zeman_fulton_lucovsky_nemanich_yang_2006, title={Thermal stability of TiO2, ZrO2, or HfO2 on Si(100) by photoelectron emission microscopy}, volume={99}, ISSN={["1089-7550"]}, DOI={10.1063/1.2163984}, abstractNote={The thermal stability of thin films (3nm) of transition-metal (TM) oxides (TiO2, ZrO2, and HfO2) grown on ultrathin (∼0.5nm) SiO2 buffer layers on Si(100) surfaces was investigated with ultraviolet photoelectron emission microscopy (UV-PEEM). The decomposition of the TM oxides was observed in the PEEM during ultrahigh-vacuum annealing at temperatures of ∼870, ∼900, and ∼1000°C for the TiO2, ZrO2, and HfO2, respectively. Following the decomposition reaction, atomic force microscopy measurements of the annealed surfaces revealed a high density of islands in the decomposed regions. The degradation of the TM oxide films is attributed to a reaction occurring at defects at the TM oxide/SiO2∕Si interfaces, which forms SiO species. Once a portion of the interfacial SiO2 layer is desorbed as a result of this reaction, Si from the substrate can diffuse into contact with the TM oxide layer, resulting in the formation of a TM silicide and the evolution of SiO. This process continues until the entire TM oxide layer is consumed and only silicide islands remain.}, number={2}, journal={JOURNAL OF APPLIED PHYSICS}, author={Zeman, MC and Fulton, CC and Lucovsky, G and Nemanich, RJ and Yang, WC}, year={2006}, month={Jan} } @article{lucovsky_phillips_2005, title={Bond strain and defects at interfaces in high-k gate stacks}, volume={45}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2004.11.051}, abstractNote={The performance and reliability of aggressively-scaled field effect transistors are determined in large part by electronically-active defects and defect precursors at the Si–SiO2, and internal SiO2–high-k dielectric interfaces. A crucial aspect of reducing interfacial defects and defect precursors is associated with bond strain-driven bonding interfacial self-organizations that take place during high temperature annealing in inert ambients. The interfacial self-organizations, and intrinsic interface defects are addressed through an extension of bond constraint theory from bulk glasses to interfaces between non-crystalline SiO2, and (i) crystalline Si, and (ii) non-crystalline and crystalline alternative gate dielectric materials.}, number={5-6}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G and Phillips, JC}, year={2005}, pages={770–778} } @article{lucovsky_hong_fulton_stoute_zou_nemanich_aspnes_ade_schlom_2005, title={Conduction band states of transition metal (TM) high-k gate dielectrics as determined from X-ray absorption spectra}, volume={45}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2004.11.038}, abstractNote={This paper uses X-ray absorption spectroscopy to study the electronic structure of the high-k gate dielectrics including TM and RE oxides. The results are applicable to TM and rare earth (RE) silicate and aluminate alloys, as well as complex oxides comprised of mixed TM/TM and TM/RE oxides. These studies identify the nature of the lowest conduction band d∗ states, which define the optical band gap, Eg, and the conduction band offset energy with respect to crystalline Si, EB. Eg and EB scale with the atomic properties of the TM and RE atoms providing important insights for identification high-k dielectrics that meet performance targets for advanced CMOS devices.}, number={5-6}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G and Hong, JG and Fulton, CC and Stoute, NA and Zou, Y and Nemanich, RJ and Aspnes, DE and Ade, H and Schlom, DG}, year={2005}, pages={827–830} } @article{lucovsky_fulton_zhang_luning_edge_whitten_nemanich_schlom_afanase'v_2005, title={Conduction band-edge d-states in high-k dielectrics due to Jahn-Teller term splittings}, volume={486}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2004.11.233}, abstractNote={X-ray absorption spectroscopy (XAS) is used to study conduction band edge electronic structure of high-k transition metal (TM) and trivalent lanthanide series rare earth (RE) oxide dielectrics. Empty TM/RE d-states are studied by intra-atomic transitions originating in core level spin-orbit split p-states, and conduction band states are studied in inter-atomic transitions which originate in the oxygen atom 1s core level state. In non-crystalline Zr and Hf silicate alloys, the local bonding symmetry, or crystal field splits these d-states into doubly and triply degenerate features. In nano-crystalline oxides, there are additional d-state splittings due to contributions of more distant neighbors that completely remove d-state degeneracies via the Jahn–Teller effect mechanism. This gives rise to highly localized band edge states that are electronically active in photoconductivity, internal photoemission, and act as bulk traps in metal oxide semiconductor (MOS) devices.}, number={1-2}, journal={THIN SOLID FILMS}, author={Lucovsky, G and Fulton, CC and Zhang, Y and Luning, J and Edge, L and Whitten, JL and Nemanich, RJ and Schlom, DG and Afanase'v, VV}, year={2005}, month={Aug}, pages={129–135} } @article{lucovsky_fulton_zhang_zou_luning_edge_whitten_nemanich_ade_schlom_et al._2005, title={Conduction band-edge states associated with the removal of d-state degeneracies by the Jahn-Teller effect}, volume={5}, ISSN={["1558-2574"]}, DOI={10.1109/TDMR.2005.845804}, abstractNote={X-ray absorption spectroscopy (XAS) is used to study band edge electronic structure of high-/spl kappa/ transition metal (TM) and trivalent lanthanide rare earth (RE) oxide gate dielectrics. The lowest conduction band d/sup */-states in TiO/sub 2/, ZrO/sub 2/ and HfO/sub 2/ are correlated with: 1) features in the O K/sub 1/ edge, and 2) transitions from occupied Ti 2p, Zr 3p and Hf 4p states to empty Ti 3d-, Zr 4d-, and Hf 5d-states, respectively. The relative energies of d-state features indicate that the respective optical bandgaps, E/sub opt/ (or equivalently, E/sub g/), and conduction band offset energy with respect to Si, E/sub B/, scale monotonically with the d-state energies of the TM/RE atoms. The multiplicity of d-state features in the Ti L/sub 2,3/ spectrum of TiO/sub 2/, and in the derivative of the O K/sub 1/ spectra for ZrO/sub 2/ and HfO/sub 2/ indicate a removal of d-state degeneracies that results from a static Jahn-Teller effect in these nanocrystalline thin film oxides. Similar removals of d-state degeneracies are demonstrated for complex TM/RE oxides including Zr and Hf titanates, and La, Gd and Dy scandates. Analysis of XAS and band edge spectra indicate an additional band edge state that is assigned Jahn-Teller distortions at internal grain boundaries. These band edges defect states are electronically active in photoconductivity (PC), internal photoemission (IPE), and act as bulk traps in metal oxide semiconductor (MOS) devices, contributing to asymmetries in tunneling and Frenkel-Poole transport that have important consequences for performance and reliability in advanced Si devices.}, number={1}, journal={IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY}, author={Lucovsky, G and Fulton, CC and Zhang, Y and Zou, Y and Luning, J and Edge, LF and Whitten, JL and Nemanich, RJ and Ade, H and Schlom, DG and et al.}, year={2005}, month={Mar}, pages={65–83} } @article{lucovsky_phillips_2005, title={Defects and defect relaxation at internal interfaces between high-k transition metal and rare earth dielectrics and interfacial native oxides in metal oxide semiconductor (MOS) structures}, volume={486}, ISSN={["0040-6090"]}, DOI={10.1016/j.tsf.2004.11.224}, abstractNote={Composite gate dielectrics for advanced Si devices that include high-k dielectrics have two interfaces that determine performance and reliability: (i) the Si–SiO2 interface, and (ii) the internal interface between SiO2 and the high-k dielectric. Spectroscopic studies combined with ab initio electronic structure calculations and bond constraint theory (BCT) identify quantitative relationships between electronic and/or mechanical strain and densities of defects and defect precursors at these interfaces. Discontinuities in the number of bonds/atom, Nav at these dielectric interfaces introduce high densities of defects that scale as the square of Nav. BCT also explains the driving force for nano-scale self-organizations at these interfaces, and the effect this has on defect densities, and other interfacial properties.}, number={1-2}, journal={THIN SOLID FILMS}, author={Lucovsky, G and Phillips, JC}, year={2005}, month={Aug}, pages={200–204} } @article{lucovsky_zhang_fulton_zou_nemanich_ade_whitten_2005, title={Final state effects in VUV and soft X-ray absorption spectra of transition metal oxides and silicate alloys: comparisons between experiment and ab initio calculations}, volume={144}, ISSN={["1873-2526"]}, DOI={10.1016/j.elspec.2005.01.251}, abstractNote={This paper uses X-ray absorption spectroscopy and vacuum ultra-violet spectroscopic ellipsometry to study the electronic structure of high-k transition metal (TM) oxide gate dielectrics. The results are applicable to TM and rare earth (RE) silicate and aluminate alloys, as well as complex oxides comprised of mixed TM/TM and TM/RE oxides. These studies identify the nature of the lowest conduction band d* states, which define the optical band gap, including their relationship to the band gap, Eg, of the oxide.}, journal={JOURNAL OF ELECTRON SPECTROSCOPY AND RELATED PHENOMENA}, author={Lucovsky, G and Zhang, Y and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H and Whitten, JL}, year={2005}, month={Jun}, pages={917–919} } @article{lucovsky_zhang_luning_afanase'v_stesmans_zollner_triyoso_rogers_whitten_2005, title={Intrinsic band edge traps in nano-crystalline HfO2 gate dielectrics}, volume={80}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2005.04.052}, abstractNote={Chemically pure thin films of HfO2, as well as other transition metal and rare earth elemental and complex oxides, e.g., LaScO3 and LaAlO3, are nanocrystalline as-deposited. The local bonding environments of the transition and rare earth atoms are distorted with respect to ideal octahedral or cubic bonding, and degeneracies of the respective band edge d-states are completely removed by Jahn-Teller (J-T) distortions. Spectroscopic studies have revealed these J-T term splittings, and also a band edge localized state which is assigned to an electronically-active bonding defect at nanocrystalline grain boundaries.}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G and Zhang, Y and Luning, J and Afanase'v, VV and Stesmans, A and Zollner, S and Triyoso, D and Rogers, BR and Whitten, JL}, year={2005}, month={Jun}, pages={110–113} } @article{lucovsky_2005, title={Non-crystalline oxides and chalcogenides: A new paradigm based on ab initio quantum chemistry calculations for short range order and properties, and bond-constraint theory for network connectivity, network disruption and chemical phase separation}, volume={7}, number={4}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G.}, year={2005}, pages={1691–1706} } @article{boolchand_lucovsky_phillips_thorpe_2005, title={Self-organization and the physics of glassy networks}, volume={85}, ISSN={["1478-6443"]}, DOI={10.1080/14786430500256425}, abstractNote={Network glasses are the physical prototype for many self-organized systems, ranging from proteins to computer science. Conventional theories of gases, liquids and crystals do not account for the strongly material-selective character of the glass-forming tendency, the phase diagrams of glasses or their optimizable properties. A new topological theory, only 25 years old, has succeeded where conventional theories have failed. It shows that (probably all slowly quenched) glasses, including network glasses, are the result of the combined effects of a few simple mechanisms. These glass-forming mechanisms are topological in nature and have already been identified for several important glasses, including chalcogenide alloys, silicates (window glass and computer chips) and proteins.}, number={32}, journal={PHILOSOPHICAL MAGAZINE}, author={Boolchand, P and Lucovsky, G and Phillips, JC and Thorpe, MF}, year={2005}, month={Nov}, pages={3823–3838} } @article{fulton_lucovsky_zhang_zou_nemanich_ade_whitten_2005, title={Studies of the coupling of final d*-states in mixed Hf and Ti oxides (HfO2)(x)(TiOx)(1-x) and other complex oxides}, volume={144}, ISSN={["1873-2526"]}, DOI={10.1016/j.elspec.2005.01.098}, abstractNote={Abstract X-ray absorption spectroscopy and vacuum ultra-violet spectroscopic ellipsometry are used to study the electronic structure of complex oxides comprised of mixed TM/TM and TM/RE oxides. Experimental spectra for HfTiO 4 and Gd(Dy)ScO 3 indicate multiple d-state features in the O K 1 edge. These are compared with the empirical models for atomic d-state mixing. It is concluded that a mean field, virtual alloy model does not apply, and that the effects associated with the differences in atomic coordination and deviations from ideal octahedral or cubic bonding play a determinant role in d-state atom mixing. The results are applied band edge engineering options for high- k dielectric applications.}, journal={JOURNAL OF ELECTRON SPECTROSCOPY AND RELATED PHENOMENA}, author={Fulton, CC and Lucovsky, G and Zhang, Y and Zou, Y and Nemanich, RJ and Ade, H and Whitten, JL}, year={2005}, month={Jun}, pages={913–916} } @article{hinkle_fulton_nemanich_lucovsky_2004, title={A novel approach for determining the effective tunneling mass of electrons in HfO2 and other high-K alternative gate dielectrics for advanced CMOS devices}, volume={72}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2003.12.047}, abstractNote={There has been a search for alternative dielectrics with significantly increased dielectric constants, K, which increases physical thickness in proportion to K, and therefore would significantly reduce direct tunneling. However, increases in K to values of 15–25 in transition metal and rare earth oxides are generally accompanied by decreases in the conduction band offset energy with respect to Si, EB, and the effective electron tunneling mass, meff, which mitigate gains from increased thickness. A novel technique, based on stacked dielectrics, is used to obtain the tunneling mass-conduction band offset energy product. When combined with optical measurements of tunneling barriers, this yields direct estimates of the tunneling mass.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Hinkle, CL and Fulton, C and Nemanich, RJ and Lucovsky, G}, year={2004}, month={Apr}, pages={257–262} } @article{lucovsky_rayner_kang_hinkle_hong_2004, title={A spectroscopic phase separation study distinguishing between chemical with different degrees of crystallinity in Zr(Hf) silicate alloys}, volume={566}, ISSN={["0039-6028"]}, DOI={10.1016/j.susc.2004.06.010}, abstractNote={Abstract Chemical phase separation at device processing temperatures is an important issue for integration of Zr and Hf silicates alloys into advanced CMOS devices. Chemical phase separation into ZrO 2 and SiO 2 has been detected by different spectroscopic techniques, including Fourier transform infra red, X-ray photoelectron, and X-ray absorption spectroscopy, as well as X-ray diffraction and high resolution transmission electron microscopy imaging as well. Comparisons between these techniques for Zr silicates identify an unambiguous approach to distinguishing between chemical phase separation with different degrees of micro- and nano-crystallinity.}, journal={SURFACE SCIENCE}, author={Lucovsky, G and Rayner, GB and Kang, D and Hinkle, CL and Hong, JG}, year={2004}, month={Sep}, pages={772–776} } @article{lucovsky_rayner_kang_hinkle_hong_2004, title={A spectroscopic study distinguishing between chemical phase separation with different degrees of crystallinity in Hf(Zr) silicate alloys}, volume={234}, ISSN={["0169-4332"]}, DOI={10.1016/j.apsusc.2004.05.075}, abstractNote={Abstract Chemical phase separation at device processing temperatures is an important issue for integration of Zr and Hf silicates alloys into advanced complementary metal oxide semiconductor (CMOS) devices. Chemical phase separation into ZrO 2 and SiO 2 has been detected by different spectroscopic techniques, including Fourier transform infrared, X-ray photoelectron, and X-ray absorption spectroscopy, as well as X-ray diffraction and high resolution transmission electron microscopy imaging as well. Comparisons between these techniques for Zr silicates identify an unambiguous approach to distinguishing between chemical phase separation with different degrees of micro- and nano-crystallinity.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Rayner, GB and Kang, D and Hinkle, CL and Hong, JG}, year={2004}, month={Jul}, pages={429–433} } @article{mowrer_lucovsky_sremaniak_whitten_2004, title={Ab initio theory calculations of the electronic structure of nc-AS(2)S(3) and GeS2: an intrinsic mechanism for reversible photo-darkening}, volume={338-40}, DOI={10.1016/j.jnocrysol.2004.03.038}, number={Jun 15 2004}, journal={Journal of Non-crystalline Solids}, author={Mowrer, T. and Lucovsky, G. and Sremaniak, L. S. and Whitten, Jerry}, year={2004}, pages={543–547} } @article{afanas'ev_stesmans_zhao_caymax_heeg_schubert_jia_schlom_lucovsky_2004, title={Band alignment between (100)Si and complex rare earth/transition metal oxides}, volume={85}, ISSN={["1077-3118"]}, DOI={10.1063/1.1829781}, abstractNote={The electron energy band alignment between (100)Si and several complex transition∕rare earth (RE) metal oxides (LaScO3, GdScO3, DyScO3, and LaAlO3, all in amorphous form) is determined using a combination of internal photoemission and photoconductivity measurements. The band gap width is nearly the same in all the oxides (5.6–5.7eV) yielding the conduction and valence band offsets at the Si∕oxide interface of 2.0±0.1 and 2.5±0.1eV, respectively. However, band-tail states are observed and these are associated with Jahn-Teller relaxation of transition metal and RE cations which splits their d* states.}, number={24}, journal={APPLIED PHYSICS LETTERS}, author={Afanas'ev, VV and Stesmans, A and Zhao, C and Caymax, M and Heeg, T and Schubert, J and Jia, Y and Schlom, DG and Lucovsky, G}, year={2004}, month={Dec}, pages={5917–5919} } @article{lucovsky_phillips_2004, title={Bond strain and defects at Si-SiO2 and internal dielectric interfaces in high-k gate stacks}, volume={16}, ISSN={["1361-648X"]}, DOI={10.1088/0953-8984/16/44/011}, abstractNote={The performance and reliability of aggressively-scaled field effect transistors are determined in large part by electronically-active defects and defect precursors at the Si–SiO2 and internal SiO2-high-k dielectric interfaces. A crucial aspect of reducing interfacial defects and defect precursors is associated with bond-strain-driven bonding interfacial self-organizations that take place during high temperature annealing in inert ambients. The interfacial self-organizations and intrinsic interface defects are addressed through an extension of bond constraint theory from bulk glasses to interfaces between non-crystalline SiO2 and (i) crystalline Si, and (ii) non-crystalline and crystalline alternative gate dielectric materials.}, number={44}, journal={JOURNAL OF PHYSICS-CONDENSED MATTER}, author={Lucovsky, G and Phillips, JC}, year={2004}, month={Nov}, pages={S5139–S5151} } @article{lee_wu_lucovsky_2004, title={Breakdown and reliability of p-MOS devices with stacked RPECVD oxide/nitride gate dielectric under constant voltage stress}, volume={44}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2003.07.002}, abstractNote={In this work, the effects of voltage and temperature on the TDDB characteristics of ∼2.0 nm stacked oxide/nitride (O/N) dielectric, prepared by remote plasma enhanced CVD (RPECVD), has been investigated. The breakdown characteristics and time-to-breakdown (tBD) are recorded from p+-poly/n-Si capacitors under constant voltage stress (CVS) at different temperatures. The tBD cumulative distributions exhibit a single Weibull slope β of ∼1.9 for different applied voltages. The charge-to-breakdown (QBD) is integrated from the gate current as a function of stress times, and can be used to extract the defect generation rate. The activation energy of 0.39 eV is determined from the Arrhenius law, and the average temperature acceleration factor is about 45 between 25 and 125 °C for a constant gate voltage. The extrapolation of the TDDB lifetime with low percentile failure rate of 0.01% provides a 10-year projection for a total gate area of 0.1 cm2 on a chip at 125 °C with the Poisson area-scaling law and a constant voltage acceleration factor of ∼14.83 V−1. It is projected that the maximum safe operating voltage is ∼1.9 V for 2.07 nm O/N gate dielectric.}, number={2}, journal={MICROELECTRONICS RELIABILITY}, author={Lee, YM and Wu, YD and Lucovsky, G}, year={2004}, month={Feb}, pages={207–212} } @article{rayner_kang_hinkle_hong_lucovsky_2004, title={Chemical phase separation in Zr silicate alloys: a spectroscopic study distinguishing between chemical phase separation with different degree of micro- and nano-crystallinity}, volume={72}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2004.01.008}, abstractNote={Chemical phase separation at processing temperatures is an important issue for integration of Zr and Hf silicates alloys into advanced CMOS devices. Chemical phase separation into ZrO2 and SiO2 has been detected by different spectroscopic techniques, including Fourier transform infrared, X-ray photoelectron, and X-ray absorption spectroscopy, as well as X-ray diffraction and high resolution transmission electron microscopy imaging as well. Comparisons between techniques for Zr silicates identify an unambiguous approach to distinguishing between chemical phase separation with different degrees of micro- and nano-crystallinity. This is important since all modes of chemical separation degrade dielectric properties required for high-K applications.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Rayner, GB and Kang, D and Hinkle, CL and Hong, JG and Lucovsky, G}, year={2004}, month={Apr}, pages={304–309} } @article{rayner_kang_lucovsky_2004, title={Chemical phase separation in Zr silicate alloys: a spectroscopic study distinguishing between chemical phase separation with different degrees of micro- and nano-crystallinity}, volume={338}, ISSN={["1873-4812"]}, DOI={10.1016/j.jnoncrysol.2004.02.042}, abstractNote={Chemical phase separation is an important issue for process integration of non-crystalline Zr and Hf silicate alloys into advanced microelectronic devices. Chemical phase separation of Zr silicates into ZrO2 and SiO2 has been detected by different spectroscopic techniques, including Fourier transform infrared, X-ray photoelectron, X-ray absorption, and extended X-ray absorption fine structure spectroscopies, as well as X-ray diffraction and high resolution transmission electron microscopy imaging. This combination of techniques identifies an unambiguous way to distinguish between chemical phase separation with different degrees of micro- and nano-crystallinity. This is important since all modes of chemical separation degrade dielectric properties required for device applications.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Rayner, GB and Kang, D and Lucovsky, G}, year={2004}, month={Jun}, pages={151–154} } @article{bae_krug_lucovsky_2004, title={Electron trapping in metal-insulator-semiconductor structures on n-GaN with SiO2 and Si3N4 dielectrics}, volume={22}, ISSN={["1520-8559"]}, DOI={10.1116/1.1806439}, abstractNote={Electron trapping in Al-gate n-GaN∕nitrided-thin-Ga2O3∕SiO2 and n-GaN∕Si3N4 MIS capacitors was evaluated by capacitance-voltage (C–V) measurements. Significant positive flatband voltage shift (ΔVfb) was observed with increasing starting dc bias in the C–V measurements. For similar equivalent oxide thickness and under the same C–V measurement conditions, ΔVfb in the nitride was 3–10 times larger than in the oxide samples. It is suggested that flatband voltage shifts are due to border traps in SiO2 and to interface and bulk traps in Si3N4 samples.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Bae, C and Krug, C and Lucovsky, G}, year={2004}, pages={2379–2383} } @article{hinkle_fulton_nemanich_lucovsky_2004, title={Enhanced tunneling in stacked gate dielectrics with ultra-thin HfO2 (ZrO2) layers sandwiched between thicker SiO2 layers}, volume={566}, ISSN={["1879-2758"]}, DOI={10.1016/j.susc.2004.06.084}, abstractNote={There has been a search for alternative dielectrics with significantly increased dielectric constants, K, which increases in physical thickness proportional to K, and therefore would significantly reduce direct tunneling. However, increases in k to values of 15–25 in transition metal and rare earth oxides are generally accompanied by decreases in the conduction band offset energy with respect to Si, EB, and the effective electron tunneling mass, meff, which mitigate gains from increased thickness. A novel technique, based on stacked dielectrics, is used to obtain the tunneling mass-conduction band offset energy product. When combined with optical measurements of tunneling barriers, this yields direct estimates of the tunneling mass.}, journal={SURFACE SCIENCE}, author={Hinkle, CL and Fulton, C and Nemanich, RJ and Lucovsky, G}, year={2004}, month={Sep}, pages={1185–1189} } @article{hinkle_fulton_nemanich_lucovsky_2004, title={Enhanced tunneling in stacked gate dielectrics with ultra-thin HfO2 layers sandwiched between thicker SiO2 layers}, volume={234}, DOI={10.1016/j.apsusc.2004-05.076}, number={37990}, journal={Applied Surface Science}, author={Hinkle, C. L. and Fulton, C. and Nemanich, R. J. and Lucovsky, G.}, year={2004}, pages={240–245} } @article{fulton_cook_lucovsky_nemanich_2004, title={Interface instabilities and electronic properties of ZrO2 on silicon (100)}, volume={96}, ISSN={["1089-7550"]}, DOI={10.1063/1.1776313}, abstractNote={The interface stability of Zr-based high-k dielectrics with an oxide buffer layer was explored with x-ray (hυ=1254eV) and ultraviolet (hυ=21.2eV) photoemission spectroscopy. Zirconium oxide films were grown and characterized in situ in a stepwise sequence to explore their chemical stability and electronic properties as a function of film thickness and processing conditions. The buffer layers serve to lower the interface state density and to address the high temperature instabilities of ZrO2 in direct contact with Si. This research addresses three issues: (1) the development of the band offsets and electronic structure during the low temperature (T<300°C) growth processes, (2) variations in the band structure as effected by process conditions and annealing (T<700°C), and (3) the interface stability of Zr oxide films at high temperatures (T>700°C). Annealing the as-grown films to 600°C results in an ∼2eV shift of the ZrO2-Si band alignment, giving a band offset that is, favorable to devices, in agreement with predictions and in agreement with other experiments. We propose that the as-grown films contain excess oxygen resulting in a charge transfer from the Si substrate to the internal (ZrO2-SiO2) interface and that annealing to 600°C is sufficient to drive off this oxygen. Further annealing to 900°C, in the presence of excess Si at the surface, results in decomposition of the oxide to form ZrSi2.}, number={5}, journal={JOURNAL OF APPLIED PHYSICS}, author={Fulton, CC and Cook, TE and Lucovsky, G and Nemanich, RJ}, year={2004}, month={Sep}, pages={2665–2673} } @article{lucovsky_phillips_2004, title={Interfacial strain-induced self-organization in semiconductor dielectric gate stacks. I. Strain relief at the Si-SiO2 interface}, volume={22}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Phillips, J. C.}, year={2004}, pages={2087–2096} } @article{lucovsky_maria_phillips_2004, title={Interfacial strain-induced self-organization in semiconductor dielectric gate stacks. II. Strain-relief at internal dielectric interfaces between SiO2 and alternative gate dielectrics}, volume={22}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Maria, J. P. and Phillips, J. C.}, year={2004}, pages={2097–2104} } @article{lucovsky_mowrer_sremaniak_whitten_2004, title={Local atomic structure and infrared effective charges in tetrahedrally-bonded glasses from ab initio theory electronic structure calculations}, volume={338}, ISSN={["0022-3093"]}, DOI={10.1016/j.jnoncrysol.2004.02.043}, abstractNote={Ab initio calculations are applied to small clusters containing the elements of short range order determined from X-ray and electron diffraction radial distributions for tetrahedrally-bonded, continuous random networks glasses including SiO2, GeO2, BeF2, and GeS2. The calculations have been used to determine the dependence of the total energy on the bond-angle at the two-fold coordinated O-, F-, and S-atom sites, and the infrared effective charges for normal mode infrared active network vibrations. The results of these calculations are in excellent agreement with experiment. Of particular significance are the calculated bond angle distributions for SiO2 and GeO2, which are significantly narrower than previously assumed, but in good agreement with recent modeling and experimental studies.}, number={Jun 15 2004}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G and Mowrer, T and Sremaniak, LS and Whitten, JL}, year={2004}, month={Jun}, pages={155–158} } @article{bae_lucovsky_2004, title={Low-temperature preparation of GaN-SiO2 interfaces with low defect density. I. Two-step remote plasma-assisted oxidation-deposition process}, volume={22}, ISSN={["1520-8559"]}, DOI={10.1116/1.1807396}, abstractNote={In previous studies, device-quality Si-SiO2 interfaces and dielectric bulk films (SiO2) were prepared using a two-step process: (i) remote plasma-assisted oxidation (RPAO) to form a superficially interfacial oxide (∼0.6nm) and (ii) remote plasma-enhanced chemical vapor deposition (RPECVD) to deposit the oxide film. The same approach has been applied to the GaN-SiO2 system. Without an RPAO step, subcutaneous oxidation of GaN takes place during RPECVD deposition of SiO2, and on-line Auger electron spectroscopy indicates a ∼0.7-nm subcutaneous oxide. The quality of the interface and dielectric layer with/without RPAO process has been investigated by fabricated GaN metal-oxide-semiconductor capacitors. Compared to single-step SiO2 deposition, significantly reduced defect state densities are obtained at the GaN-SiO2 interface by independent control of GaN-GaOx interface formation by RPAO and SiO2 deposition by RPECVD.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Bae, C and Lucovsky, G}, year={2004}, pages={2402–2410} } @article{bae_lucovsky_2004, title={Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation\}, volume={22}, ISSN={["1520-8559"]}, DOI={10.1116/1.1807411}, abstractNote={Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2∕He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ∼4–7at.%. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Bae, C and Lucovsky, G}, year={2004}, pages={2411–2418} } @misc{lucovsky_2004, title={Methods of forming binary noncrystalline oxide analogs of silicon dioxide}, volume={6,686,264}, number={2004 Feb. 3}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Lucovsky, G.}, year={2004} } @article{lucovsky_phillips_2004, title={Microscopic bonding and macroscopic strain relaxations at Si-SiO2 interfaces}, volume={78}, ISSN={["1432-0630"]}, DOI={10.1007/s00339-003-2403-2}, number={4}, journal={APPLIED PHYSICS A-MATERIALS SCIENCE & PROCESSING}, author={Lucovsky, G and Phillips, JC}, year={2004}, month={Mar}, pages={453–459} } @article{soares_bastos_pezzi_miotti_driemeier_baumvol_hinkle_lucovsky_2004, title={Nitrogen bonding, stability, and transport in AlON films on Si}, volume={84}, ISSN={["0003-6951"]}, DOI={10.1063/1.1763230}, abstractNote={The chemical environment of N in nitrided aluminum oxide films on Si(001) was investigated by angle-resolved x-ray photoelectron spectroscopy. Two different bonding configurations were identified, namely N–Al and N–O–Al, suggesting the formation of the AlN and AlO2N compounds. The near-surface region is N-rich and AlN compounds therein are more abundant than AlO2N, whereas in bulk regions the proportions of these two compounds are comparable. Rapid thermal annealing at 1000 °C for 10 s in vacuum or in low-pressure oxygen atmosphere led to the breakage of N–Al bonds in AlN, releasing N and Al. The mobile N is partly lost by desorption from the surface and partly fixed by reacting with the network to form AlO2N. The released Al atoms, which remain immobile, react with oxygen from the film or from the gas phase. Characterization of the films outermost surfaces by low-energy ion scattering revealed that the migration of Si atoms from the substrate across the films, reaching the surface and being oxidized therein, is not entirely inhibited in AlON/Si, although this migration is largely reduced as compared to nonnitrided Al2O3 films.}, number={24}, journal={APPLIED PHYSICS LETTERS}, author={Soares, GV and Bastos, KP and Pezzi, RP and Miotti, L and Driemeier, C and Baumvol, IJR and Hinkle, C and Lucovsky, G}, year={2004}, month={Jun}, pages={4992–4994} } @misc{lucovsky_parsons_2004, title={Non-crystalline oxides for use in microelectronic, optical, and other applications}, volume={6,787,861}, number={2004 Sept. 7}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Lucovsky, G. and Parsons, G. N.}, year={2004} } @article{fulton_lucovsky_nemanich_2004, title={Process-dependent band structure changes of transition-metal (Ti,Zr,Hf) oxides on Si (100)}, volume={84}, ISSN={["1077-3118"]}, DOI={10.1063/1.1639944}, abstractNote={In this study, we have deposited Ti, Zr, and Hf oxides on ultrathin (∼0.5 nm) SiO2 buffer layers and have identified metastable states which give rise to large changes in their band alignments with respect to the Si substrate. This results in a potential across the interfacial SiO2 layer, significant band bending, and large shifts of the high-k valence band. The magnitude of the shift differs for the three materials and is dependant on both the SiO2 buffer layer thickness and annealing temperature. We propose a model where excess oxygen accumulates near the high-k-SiO2 interface providing electronic states, which are available to electrons that tunnel from the substrate.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={Fulton, CC and Lucovsky, G and Nemanich, RJ}, year={2004}, month={Jan}, pages={580–582} } @article{bae_lucovsky_2004, title={Reductions in interface defects, D-it, by post oxidation plasma-assisted nitridation of GaN-SiO2 interfaces in MOS devices}, volume={566}, ISSN={["1879-2758"]}, DOI={10.1016/j.susc.2004.05.072}, abstractNote={This paper applies remote plasma processing techniques, remote plasma assisted oxidation (nitridation) RPAO(N) and RP enhanced chemical vapor deposition (RPECVD), developed originally for fabrication of Si MOS devices with deposited SiO2, Si3N4 and Si oxynitride alloys to the formation of device-quality GaN MOS devices. Significant improvements in device performance for GaN–SiO2 interfaces are demonstrated by following an RPAO process step that forms the device interface with an interface nitridation RPAN step prior to the deposition of an SiO2 dielectric film by RPECVD. On-line Auger electron spectroscopy is used to monitor interface bonding for different ordering of RPAO and RPAN process steps.}, journal={SURFACE SCIENCE}, author={Bae, C and Lucovsky, G}, year={2004}, month={Sep}, pages={356–360} } @article{bae_lucovsky_2004, title={Reductions in interface defects, D-it, by post oxidation plasma-assisted nitridation of GaN-SiO2 interfaces in MOS devices}, volume={72}, ISSN={["1873-5568"]}, DOI={10.1016/j.mee.2003.12.043}, abstractNote={This paper applies remote plasma processing techniques, remote plasma assisted oxidation (nitridation) RPAO(N) and RP enhanced chemical vapor deposition (RPECVD), developed originally for fabrication of Si MOS devices with deposited SiO2, Si3N4 and Si oxynitride alloys, to the formation of device-quality GaN MOS devices. Significant improvements in device performance for GaN–SiO2 interfaces are demonstrating by following an RPAO process step that forms the GaN–dielectric interface with an interfacial RPAN step prior to the deposition of the SiO2 dielectric film by RPECVD. On-line Auger electron spectroscopy is used to monitor interface bonding for different ordering of RPAO and RPAN process steps.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Bae, C and Lucovsky, G}, year={2004}, month={Apr}, pages={236–240} } @article{bae_lucovsky_2004, title={Reductions in interface defects, D-it, by post-oxidation plasma-assisted nitridation of GaN-SiO2 interfaces in MOS devices}, volume={234}, ISSN={["1873-5584"]}, DOI={10.1016/j.apsusc.2004.05.077}, abstractNote={This paper applies remote plasma processing techniques, remote plasma assisted oxidation (nitridation) RPAO(N) and RP enhanced chemical vapor deposition (RPECVD), developed originally for fabrication of Si MOS devices with deposited SiO2, Si3N4 and Si oxynitride alloys to the formation of device-quality GaN MOS devices. Significant improvements in device performance for GaN–SiO2 interfaces are demonstrated by following an RPAO process step that forms the device interface with an interface nitridation RPAN step prior to the deposition of an SiO2 dielectric film by RPECVD. On-line Auger electron spectroscopy (AES) is used to monitor interface bonding for different ordering of RPAO and RPAN process steps.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Bae, C and Lucovsky, G}, year={2004}, month={Jul}, pages={475–479} } @misc{lucovsky_niimi_2004, title={Remote plasma-assisted oxidation of SiC: a low temperature process for SiC-SiO2 interface formation that eliminates interfacial Si oxycarbide transition regions}, volume={16}, ISSN={["1361-648X"]}, DOI={10.1088/0953-8984/16/17/018}, abstractNote={Remote plasma-assisted oxidation of SiC is a low temperature process, 300 °C, for the formation of device quality interfaces on SiC. This paper discusses two aspects of the process: (i) the motivation for eliminating high temperature oxidation processes that can generate silicon oxycarbide, Si–O–C, interfacial regions which can be a source of interfacial defects and (ii) the kinetics of the remote plasma-assisted oxidation process that effectively eliminates interfacial Si oxycarbide transition regions. The differences between interfacial relaxation at Si–SiO2 and SiC–SiO2 are based on the relative stabilities of the suboxides of Si and SiC, SiOx and (Si,C)Ox, respectively.}, number={17}, journal={JOURNAL OF PHYSICS-CONDENSED MATTER}, author={Lucovsky, G and Niimi, H}, year={2004}, month={May}, pages={S1815–S1837} } @article{lucovsky_zhang_whitten_schlom_freeouf_2004, title={Separate and independent control of interfacial band alignments and dielectric constants in transition metal rare earth complex oxides}, volume={72}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2004.01.006}, abstractNote={Spectroscopic studies of transition metal (Tm) and rare earth (Re) oxides, combined with ab initio theory, identify the band edge electronic structure of alternative high-k dielectrics. The lowest conduction band states are derived from anti-bonding transition metal d*-states with a π symmetry and show strong final state effects. Applied to the complex Tm/Re mixed oxides of the general form ReTmO3, this approach identifies a novel way for obtaining separate and independent control of band gap energies and dielectric constants through local bonding arrangements in which Tm and Re atoms are nearest neighbors to the same oxygen atom.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G and Zhang, Y and Whitten, JL and Schlom, DG and Freeouf, JL}, year={2004}, month={Apr}, pages={288–293} } @article{krug_lucovsky_2004, title={Spectroscopic characterization of high k dielectrics: Applications to interface electronic structure and stability against chemical phase separation}, volume={22}, ISSN={["1520-8559"]}, DOI={10.1116/1.1755714}, abstractNote={Extensive spectroscopic characterization of high k materials under consideration for replacing Si oxide as the gate dielectric in Si-based microelectronic devices has been accomplished. Band offset energies of Zr silicates with respect to Si have been determined as a function of silicate alloy composition by combining near-edge x-ray absorption fine structure spectroscopy, vacuum-ultraviolet spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy, and ab initio calculations on cluster models. These studies provide insight that applies to both transition metal- and rare earth-based dielectrics, including binary oxides and silicate and aluminate alloys. Results have been used to estimate the electronic conduction through Hf silicate films as a function of alloy composition. Thermally induced chemical phase separation in Zr silicate films has been characterized using XPS, Fourier transform infrared spectroscopy, x-ray diffraction, high-resolution transmission electron microscopy, and extended x-ray absorption fine structure spectroscopy. Our results indicate separation into a noncrystalline, Si-rich phase and either nano- or microcrystalline ZrO2, depending on the original film stoichiometry.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Krug, C and Lucovsky, G}, year={2004}, pages={1301–1308} } @article{lucovsky_hong_fulton_zou_nemanich_ade_scholm_freeouf_2004, title={Spectroscopic studies of metal high-k dielectrics: transition metal oxides and silicates, and complex rare earth/transition metal oxides}, volume={241}, ISSN={["1521-3951"]}, DOI={10.1002/pssb.200404938}, abstractNote={Abstract}, number={10}, journal={PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS}, author={Lucovsky, G and Hong, JG and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H and Scholm, DG and Freeouf, JL}, year={2004}, month={Aug}, pages={2221–2235} } @article{lucovsky_zhang_whitten_schlom_freeouf_2004, title={Spectroscopic studies of the electrical structure of transition metal and rare earth complex oxides}, volume={21}, ISSN={["1386-9477"]}, DOI={10.1016/j.physe.2003.11.111}, abstractNote={Spectroscopic studies of transition metal (Tm) and rare earth (Re) oxides, combined with ab initio theory identify the band edge electronic structure of high-K dielectrics. The lowest conduction band states are derived from anti-bonding Tm (Re) d∗-states. A novel method for obtaining independent control of band gap energies and dielectric constants in complex oxides, ReTmO3, results from coupling of Tm and Re atom d-states bonded to the same oxygen atom.}, number={2-4}, journal={PHYSICA E-LOW-DIMENSIONAL SYSTEMS & NANOSTRUCTURES}, author={Lucovsky, G and Zhang, Y and Whitten, JL and Schlom, DG and Freeouf, JL}, year={2004}, month={Mar}, pages={712–716} } @article{edge_schlom_brewer_chabal_williams_chambers_hinkle_lucovsky_yang_stemmer_et al._2004, title={Suppression of subcutaneous oxidation during the deposition of amorphous lanthanum aluminate on silicon}, volume={84}, ISSN={["1077-3118"]}, DOI={10.1063/1.1759065}, abstractNote={Amorphous LaAlO3 thin films have been deposited by molecular beam deposition directly on silicon without detectable oxidation of the underlying substrate. We have studied these abrupt interfaces by Auger electron spectroscopy, high-resolution transmission electron microscopy, medium-energy ion scattering, transmission infrared absorption spectroscopy, and x-ray photoelectron spectroscopy. Together these techniques indicate that the films are fully oxidized and have less than 0.2 Å of SiO2 at the interface between the amorphous LaAlO3 and silicon. These heterostructures are being investigated for alternative gate dielectric applications and provide an opportunity to control the interface between the silicon and the gate dielectric.}, number={23}, journal={APPLIED PHYSICS LETTERS}, author={Edge, LF and Schlom, DG and Brewer, RT and Chabal, YJ and Williams, JR and Chambers, SA and Hinkle, C and Lucovsky, G and Yang, Y and Stemmer, S and et al.}, year={2004}, month={Jun}, pages={4629–4631} } @article{bae_krug_lucovsky_chakraborty_mishra_2004, title={Surface passivation of n-GaN by nitrided-thin-Ga2O3/SiO2 and Si3N4 films}, volume={96}, ISSN={["1089-7550"]}, DOI={10.1063/1.1772884}, abstractNote={The electrical characteristics of n-GaN∕nitrided-thin-Ga2O3∕SiO2 and n-GaN∕Si3N4 metal-insulator-semiconductor (MIS) capacitors have been compared, and the work-function difference ϕms and effective dielectric-fixed charge density Qf,eff have been determined. Oxide samples showed lower interface trap level density Dit, lower leakage current, and better reproducibility compared to the nitride samples. The superior properties of the oxide samples are partially attributed to the nitrided-thin-Ga2O3 layer (∼0.6-nm-thick). ϕms and Qf,eff were determined, respectively, as 0.13V and 1.0×1012qcm−2 in oxide and 0.27V and −3.6×1011qcm−2 in nitride samples using flatband voltage versus dielectric thickness data. True dielectric-fixed charge density and location of the major amount of fixed charge are discussed based on Qf,eff, Dit, and spontaneous polarization of n-GaN.}, number={5}, journal={JOURNAL OF APPLIED PHYSICS}, author={Bae, C and Krug, C and Lucovsky, G and Chakraborty, A and Mishra, U}, year={2004}, month={Sep}, pages={2674–2680} } @article{bastos_pezzi_miotti_soares_driemeier_morais_baumvol_hinkle_lucovsky_2004, title={Thermal stability of plasma-nitrided aluminum oxide films on Si}, volume={84}, ISSN={["1077-3118"]}, DOI={10.1063/1.1638629}, abstractNote={The effect of post-deposition rapid thermal annealing in vacuum and in dry O2 on the stability of remote plasma-assisted nitrided aluminum oxide films on silicon is investigated. The areal densities of Al, O, N, and Si were determined by nuclear reaction analysis and their concentration versus depth distributions by narrow nuclear reaction resonance profiling, with subnanometric depth resolution. Annealing in both vacuum and O2 atmospheres produced partial loss of N from the near-surface regions of the films and its transport into near-interface regions of the Si substrate. Oxygen from the gas phase was incorporated in the AlON films in exchange for O and N previously existing therein, as well as in the near-interface regions of the Si substrate, leading to oxynitridation of the substrate. Al and Si remained essentially immobile under rapid thermal processing, confirming that the presence of nitrogen improves the thermal stability characteristics of the AlON/Si structures in comparison with non-nitrided Al2O3/Si.}, number={1}, journal={APPLIED PHYSICS LETTERS}, author={Bastos, KP and Pezzi, RP and Miotti, L and Soares, GV and Driemeier, C and Morais, J and Baumvol, IJR and Hinkle, C and Lucovsky, G}, year={2004}, month={Jan}, pages={97–99} } @article{bae_krug_lucovsky_chakraborty_mishra_2004, title={Work-function difference between Al and n-GaN from Al-gated n-GaN/nitrided-thin-Ga2O3/SiO2 metal oxide semiconductor structures}, volume={84}, DOI={10.1063/1.1767599}, abstractNote={In most previous reports on Al-gated n-GaN∕SiO2 metal–oxide–semiconductor (MOS) structures, the work–function difference between Al and n-GaN (ϕms) has been chosen as 0V by assuming that the work function of the Al gate and n-GaN are both 4.1eV. In this letter, ϕms is determined as ∼0.1V using Al-gated n-GaN∕nitrided-thin-Ga2O3∕SiO2 MOS capacitors by measuring flatband voltage as a function of oxide thickness. Formation of an ultrathin (∼0.6-nm-thick) Ga2O3 layer on n-GaN prior to the deposition of SiO2 is important to prevent uncontrolled parasitic oxidation of then-GaN surface and possibly reduces the interface dipole between n-GaN and SiO2.}, number={26}, journal={Applied Physics Letters}, author={Bae, C. and Krug, C. and Lucovsky, G. and Chakraborty, A. and Mishra, U.}, year={2004}, pages={5413–5415} } @article{lucovsky_hong_fulton_zou_nemanich_ade_2004, title={X-ray absorption spectra for transition metal high-kappa dielectrics: Final state differences for intra- and inter-atomic transitions}, volume={22}, ISSN={["2166-2746"]}, DOI={10.1116/1.1771670}, abstractNote={This article applies x-ray absorption spectroscopy to a study of the electronic structure of the high-k gate dielectrics, TiO2, ZrO2, and HfO2. Qualitative and quantitative differences are identified between intra-atomic transitions such as the Zr 3p-state, M2,3 core state absorptions which terminate in TM 4d*- and 5s*-states, and inter-atomic transitions such as the Zr 1s- and O 1s-state K1 absorptions which terminate in Zr 4d*- and 5s*-states that are mixed with O atom 2p* states through nearest neighbor bonding interactions. Differences between the spectral peak energies of the lowest d*-features in the O K1 spectra are demonstrated to scale with optical band gap differences for TiO2, ZrO2, and HfO2, providing important information relevant to applications of TM oxides as high-κ gate dielectrics in advanced Si devices. This is demonstrated through additional scaling relationships between (i) conduction band offset energies between Si and the respective dielectrics, and the optical band gaps, and (ii) the conduction band offset energies, and the electron tunneling masses as well.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Lucovsky, G and Hong, JG and Fulton, CC and Zou, Y and Nemanich, RJ and Ade, H}, year={2004}, pages={2132–2138} } @article{lucovsky_sremaniak_mowrer_whitten_2003, title={A new approach for calculating the electronic structure and vibrational properties of non-crystalline solids: Effective charges for infrared-active normal mode vibrations in oxide and chalcogenide materials}, volume={326}, number={2003 Oct 1}, journal={Journal of Non-crystalline Solids}, author={Lucovsky, G. and Sremaniak, L. S. and Mowrer, T. and Whitten, J. L.}, year={2003}, pages={14-} } @article{lucovsky_rubloff_2003, title={American Vacuum Society leadership in electronic materials processing: Past, present, and future}, volume={21}, ISSN={["1520-8559"]}, DOI={10.1116/1.1599866}, abstractNote={This article traces the evolution of electronics materials processing in the American Vacuum Society (AVS) up to the present time, and projects the trajectory of this activity into the future. The format and style of this article benefits from perspective of one of the authors (G.L.), who has been editor-in-chief of the Journal of Vacuum Science and Technology (JVST) for more than 20 years, and who has additionally contributed personally to materials processing research through interactions involving AVS symposia, divisions, and publications in the JVST. Major areas of contributions are identified, and then are correlated with the evolution of the AVS and JVST. As we move into the 21st century, the foundation laid by a strong presence in electronic materials processing is proving to be the basis for emerging cross-disciplinary AVS activities in the nanoscience and technology advances that will continue to evolve in unanticipated ways in 21st century and beyond.}, number={5}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lucovsky, G and Rubloff, G}, year={2003}, pages={S175–S181} } @article{lucovsky_rayner_zhang_appel_whitten_2003, title={Band offset energies in zirconium silicate Si alloys}, volume={216}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(03)00429-X}, abstractNote={Transition metal silicates, (ZrO2)x(SiO2)1−x, with dielectric constants, k>10 have been proposed as alternative dielectrics for advanced Si devices. Studies by X-ray absorption, X-ray photoelectron and Auger electron spectroscopy are combined to identify the compositional variation of the valence and conduction band offset energies with respect to Si in Zr silicate alloys. The minimum conduction band offset energy, associated with localized Zr 4d∗-states, is ∼1.4 eV, and is independent of alloy composition, while valence band offsets decrease monotonically with increasing ZrO2 content. Differences between the coupling of tunneling electrons to localized Zr 4d∗ and extended Si 3s∗ states, characterized by respective tunneling masses of ∼0.5mo and ∼0.2mo, combine to contribute to a minimum in the direct tunneling current in the mid-silicate-alloy composition range, x∼0.4–0.6.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Rayner, B and Zhang, Y and Appel, G and Whitten, J}, year={2003}, month={Jun}, pages={215–222} } @article{cook_fulton_mecouch_davis_lucovsky_nemanich_2003, title={Band offset measurements of the GaN (0001)/HfO2 interface}, volume={94}, DOI={10.1063/1.1618374}, number={11}, journal={Journal of Applied Physics}, author={Cook, T. E. and Fulton, C. C. and Mecouch, W. J. and Davis, R. F. and Lucovsky, G. and Nemanich, R. J.}, year={2003}, pages={7155–7158} } @article{cook_fulton_mecouch_davis_lucovsky_nemanich_2003, title={Band offset measurements of the Si3N4/GaN (0001) interface}, volume={94}, ISSN={["0021-8979"]}, DOI={10.1063/1.1601314}, abstractNote={X-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy were used to measure electronic states as Si3N4 was deposited on clean GaN (0001) surfaces. The n-type (2×1018) and p-type (1×1017) GaN surfaces were atomically cleaned in NH3 at 860 °C, and the n-and p-type surfaces showed upward band bending of ∼0.2±0.1 eV and downward band bending of 1.1±0.1 eV, respectively, both with an electron affinity of 3.1±0.1 eV. Layers of Si (∼0.2 nm) were deposited on the clean GaN and nitrided using an electron cyclotron resonance N2 plasma at 300 °C and subsequently annealed at 650 °C for densification into a Si3N4 film. Surface analysis was performed after each step in the process, and yielded a valence band offset of 0.5±0.1 eV. Both interfaces exhibited type II band alignment where the valence band maximum of GaN lies below that of the Si3N4 valence band. The conduction band offset was deduced to be 2.4±0.1 eV, and a change of the interface dipole of 1.1±0.1 eV was observed for Si3N4/GaN interface formation.}, number={6}, journal={JOURNAL OF APPLIED PHYSICS}, author={Cook, TE and Fulton, CC and Mecouch, WJ and Davis, RF and Lucovsky, G and Nemanich, RJ}, year={2003}, month={Sep}, pages={3949–3954} } @misc{lucovsky_2003, title={Binary non-crystalline oxide analogs of silicon dioxide for use in gate dielectrics}, volume={6,552,403}, number={2003 Apr. 22}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Lucovsky, G.}, year={2003} } @article{sremaniak_whitten_menon_lucovsky_2003, title={Contributions to the infrared effective charges of oxides and chalcogenides from equilibrium charge and dynamic charge redistribution during normal mode motions}, volume={212}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(03)00087-4}, abstractNote={Empirical force constant models for infrared (IR) and Raman scattering have emphasized differences between non-crystalline oxides and chalcogenides, attributing them to different bond angles at the two-fold coordinated O- and S(Se)-atoms in spite of the fact that both classes of materials form continuous random networks (CRNs) with equivalent 8N rule bonding coordination. This article applies ab initio electronic structure calculations to determine (i) equilibrium bonding geometries, and (ii) infrared effective charges for normal mode motions of O- and S-atoms in SiO2, and GeS2 and As2S3, respectively. Differences in equilibrium bond angles and normal mode effective charges are shown to result from quantitative differences in SiO, and GeS and GeSe bond ionicities that optimize the SiO2, and GeS2 and As2S3 total energies at markedly different bond angles.}, number={2003 May 15}, journal={APPLIED SURFACE SCIENCE}, author={Sremaniak, LS and Whitten, JL and Menon, M and Lucovsky, G}, year={2003}, month={May}, pages={839–843} } @article{bae_rayner_lucovsky_2003, title={Device-quality GaN-dielectric interfaces by 300 degrees C remote plasma processing}, volume={216}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(03)00497-5}, abstractNote={In previous studies, device-quality Si–SiO2 interfaces and dielectric bulk films (SiO2) were prepared using a two-step process; (i) remote plasma-assisted oxidation (RPAO) to form a superficially interfacial oxide (∼0.6 nm) and (ii) remote plasma enhanced chemical vapor deposition (RPECVD) to deposit the oxide film. The same approach has been applied to GaN–SiO2 system. Low-temperature (300 °C) remote N2/He plasma cleaning of the GaN surface, and the kinetics of GaN oxidation using RPAO process and subcutaneous oxidation during the SiO2 deposition using an RPECVD process have been investigated from analysis of on-line Auger electron spectroscopy (AES) features associated N and O. Compared to single-step SiO2 deposition, significantly reduced defect state densities are obtained at the GaN–dielectric interfaces by independent control of GaN–GaOx (x∼1.5) interface formation by RPAO, and SiO2 deposition by RPECVD.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Bae, C and Rayner, GB and Lucovsky, G}, year={2003}, month={Jun}, pages={119–123} } @article{lucovsky_raynor_zhang_fulton_nemanich_appel_ade_whitten_2003, title={Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices}, volume={212}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(03)00055-2}, abstractNote={Transition metal silicates, (ZrO2)x(SiO2)1� x, have dielectric constants k > 10 that make them attractive for advanced Si devices. Band offset energies relative to Si are an important factor in determining tunneling leakage current, and internal photoemission. Studies by X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) and X-ray absorption spectroscopy (XAS) are combined with ab initio calculations to identify the compositional variation of the band-gap, and valence and conduction band offset energies of Zr silicate alloys with respect to Si. The minimum conduction band offset, due to}, number={2003 May 15}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Raynor, GB and Zhang, Y and Fulton, CC and Nemanich, RJ and Appel, G and Ade, H and Whitten, JL}, year={2003}, month={May}, pages={563–569} } @article{lucovsky_2003, title={Electronic structure of transition metal/rare earth alternative high-K gate dielectrics: interfacial band alignments and intrinsic defects}, volume={43}, ISSN={["0026-2714"]}, DOI={10.1016/S0026-2714(03)00253-1}, abstractNote={Hybrid inorganic–organic solar cells typically combine a transition metal oxide and organic absorber to form the donor–acceptor pair. Here, we explore the use of a rare earth oxide to function as the inorganic component of a hybrid solar cell. Oxidized neodymium (Nd2O3) particles are combined with [6,6]-phenyl C61 butyric acid methyl ester (PCBM) to form the solar cell active layer. The short circuit current and open circuit voltage are both enhanced compared to pure PCBM. In addition, the photoinduced absorption decay rate increases, and photobleaching is observed. This provides evidence for charge transfer between the organic and rare earth inorganic components.}, number={9-11}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G}, year={2003}, pages={1417–1426} } @article{bae_lucovsky_2003, title={Low temperature semiconductor surface passivation for nanoelectronic device applications}, volume={532}, ISSN={["0039-6028"]}, DOI={10.1016/S0039-6028(03)00181-X}, abstractNote={Abstract A low temperature remote plasma assisted oxidation (RPAO) process for interface formation and passivation has been extended from Si and SiC to GaN. The process, which can be applied to nanoscale structures including quantum dots and wires, provides excellent control of ultra-thin interfacial layers which passivate the GaN substrate, preventing a parasitic or subcutaneous oxidation of the substrate during plasma deposition of SiO 2 . This remote plasma processing for GaN-dielectric heterostructures includes: (i) an in situ nitrogen plasma surface clean, (ii) RPAO for formation of an interfacial GaO x transition region between the GaN and deposited dielectric, and (iii) a remote plasma enhanced chemical vapor deposition of an SiO 2 dielectric.}, journal={SURFACE SCIENCE}, author={Bae, C and Lucovsky, G}, year={2003}, month={Jun}, pages={759–763} } @article{cook_fulton_mecouch_tracy_davis_hurt_lucovsky_nemanich_2003, title={Measurement of the band offsets of SiO2 on clean n- and p-type GaN(0001)}, volume={93}, ISSN={["0021-8979"]}, DOI={10.1063/1.1559424}, abstractNote={The band alignment at the SiO2-GaN interface is important for passivation of high voltage devices and for gate insulator applications. X-ray photoelectron spectroscopy and ultraviolet photoemission spectroscopy have been used to observe the interface electronic states as SiO2 was deposited on clean GaN(0001) surfaces. The substrates, grown by metallorganic chemical vapor deposition, were n- (1×1017) and p-type (2×1018) GaN on 6H-SiC(0001) with an AlN(0001) buffer layer. The GaN surfaces were atomically cleaned via an 860 °C anneal in an NH3 atmosphere. For the clean surfaces, n-type GaN showed upward band bending of 0.3±0.1 eV, while p-type GaN showed downward band bending of 1.3±0.1 eV. The electron affinity for n- and p-type GaN was measured to be 2.9±0.1 and 3.2±0.1 eV, respectively. To avoid oxidizing the GaN, layers of Si were deposited on the clean GaN surface via ultrahigh vacuum e-beam deposition, and the Si was oxidized at 300 °C by a remote O2 plasma. The substrates were annealed at 650 °C for densification of the SiO2 films. Surface analysis techniques were performed after each step in the process, and yielded a valence band offset of 2.0±0.2 eV and a conduction band offset of 3.6±0.2 eV for the GaN-SiO2 interface for both p- and n-type samples. Interface dipoles of 1.8 and 1.5 eV were deduced for the GaN-SiO2 interface for the n- and p-type surfaces, respectively.}, number={7}, journal={JOURNAL OF APPLIED PHYSICS}, author={Cook, TE and Fulton, CC and Mecouch, WJ and Tracy, KM and Davis, RF and Hurt, EH and Lucovsky, G and Nemanich, RJ}, year={2003}, month={Apr}, pages={3995–4004} } @article{bae_lucovsky_2003, title={Oxide formation and passivation for micro- and nano-electronic devices}, volume={212}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(03)00139-9}, abstractNote={A low-temperature remote plasma-assisted oxidation process for interface formation and passivation has been extended from Si and SiC to GaN. The process, which can be applied to nano-scale structures including quantum dots and wires, provides excellent control of ultra-thin interfacial layers which passivate the GaN substrate, preventing a parasitic or subcutaneous oxidation of the substrate during plasma deposition of SiO2. The remote plasma processing for GaN–SiO2 heterostructures includes: (i) an in situ nitrogen plasma surface clean; (ii) a remote plasma-assisted oxidation for formation of an interfacial GaOx (x=1.5) transition region between the GaN and deposited dielectric; and (iii) a remote plasma-enhanced chemical vapor deposition of an SiO2 dielectric.}, journal={APPLIED SURFACE SCIENCE}, author={Bae, C and Lucovsky, G}, year={2003}, month={May}, pages={644–648} } @article{hinkle_lucovsky_2003, title={Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3}, volume={216}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(03)00499-9}, abstractNote={Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al2O3, as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO2, the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N2+ ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS).}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Hinkle, C and Lucovsky, G}, year={2003}, month={Jun}, pages={124–132} } @article{ulrich_hong_rowe_lucovsky_chan_madey_2003, title={Soft x-ray photoelectron spectroscopy of (HfO2)(x)(SiO2)(1-x) high-k gate-dielectric structures}, volume={21}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Ulrich, M. D. and Hong, J. G. and Rowe, J. E. and Lucovsky, G. and Chan, A. S. Y. and Madey, T. E.}, year={2003}, pages={1777–1782} } @article{rayner_kang_lucovsky_2003, title={Spectroscopic study of chemical phase separation in zirconium silicate alloys}, volume={21}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Rayner, G. B. and Kang, D. and Lucovsky, G.}, year={2003}, pages={1783–1791} } @article{lee_wu_bae_hong_lucovsky_2003, title={Structural dependence of breakdown characteristics and electrical degradation in ultrathin RPECVD oxide/nitride gate dielectrics under constant voltage stress}, volume={47}, ISSN={["1879-2405"]}, DOI={10.1016/S0038-1101(02)00257-5}, abstractNote={Abstract The structural dependence of breakdown characteristics and electrical degradation in ultrathin oxide/nitride (O/N) dielectrics, prepared by remote plasma enhanced chemical vapor deposition, is investigated under constant voltage stress. In the early stage of oxide wearout, soft breakdown is a local phenomenon dominated by the tunneling current. After a given period of stress, a strong channel-length dependence of dielectric breakdown and the corresponding stress-induced leakage current from the evolution of increased tunneling current have been found. Stacked O/N dielectrics with interface nitridation demonstrate improved device performance on subthreshold swing and threshold voltage shifts after stress, indicating the suppression of stress-induced traps at the oxide/Si and oxide/drain interfaces compared to thermal oxides. Experimental evidence shows more severe breakdown and device degradation in the threshold voltage, drain current and transconductance for shorter channel PMOSFETs with O/N dielectrics. These degradations result from the enhancement of hole trapping in the gate–drain overlap region as evidenced by a positive off-state leakage current, which leads to hard breakdown, and the complete failure of device functionality.}, number={1}, journal={SOLID-STATE ELECTRONICS}, author={Lee, YM and Wu, YD and Bae, C and Hong, JG and Lucovsky, G}, year={2003}, month={Jan}, pages={71–76} } @article{lucovsky_whitten_zhang_2002, title={A molecular orbital model for the electronic structure of transition metal atoms in silcate and aluminate alloys}, volume={190}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(01)00835-2}, abstractNote={Applied to transition metal oxides and silicate and aluminate alloys, a classification scheme that separates non-crystalline dielectrics into three groups with different amorphous morphologies, demonstrates a direct correlation between stability against crystallization and oxygen atom coordination. It also provides a local bonding model for molecular orbital (MO), calculations that are based on the coordination and symmetry of transition metal atoms and the orbital energies of their oxygen neighbors. These calculations provide important insights into the electronic structure of transition metal dielectrics, e.g. the role of anti-bonding d-states in determining conduction band offset energies with respect to Si.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Whitten, JL and Zhang, Y}, year={2002}, month={May}, pages={48–55} } @article{lucovsky_whitten_zhang_2002, title={A molecular orbital model for the electronic structure of transition metal atoms in silicate and aluminate alloys}, volume={46}, ISSN={["1879-2405"]}, DOI={10.1016/S0038-1101(02)00160-0}, abstractNote={A classification scheme that separates non-crystalline dielectrics into three groups with different amorphous morphologies reveals an approximately linear relationship between oxygen atom coordination and average bond ionicity. When applied to transition metal (TM) and rare earth (RE) lanthanide oxides, and their silicate and aluminate alloys, this approach demonstrates a correlation between stability against crystallization and oxygen atom coordination. It also provides a local bonding model for local molecular orbital calculations that are based on the coordination and symmetry of TM and RE atoms and the orbital energies of their oxygen neighbors. These calculations provide important insights into the electronic structure of TM and RE dielectrics, and in particular the role of atomic d-state energies in providing a scaling parameter for conduction band offset energies between gate dielectrics and the Si substrate.}, number={11}, journal={SOLID-STATE ELECTRONICS}, author={Lucovsky, G and Whitten, JL and Zhang, Y}, year={2002}, month={Nov}, pages={1687–1697} } @article{lucovsky_2002, title={Amorphous morphology, thermal stability and electronic structure of non-crystalline transition-metal elemental and binary oxides, and chalcogenides}, volume={299}, ISSN={["1873-4812"]}, DOI={10.1016/S0022-3093(01)01162-0}, abstractNote={The primary motivation for this research is to identify alternative high-k gate dielectrics for advanced crystalline Si complementary metal oxide semiconductor (CMOS) devices. A novel and systematic approach to the classification of candidate elemental and binary non-crystalline oxides that is based on relative bond ionicity separates these dielectrics into three groups with different amorphous morphologies: continuous random networks, modified continuous random networks in which metal atoms disrupt and modify the covalently bonded network structure, and random close packed ionic structures. This approach identifies the importance of the oxygen atom co-ordination, providing useful insights into the bonding in chalcogenide alloys as well.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G}, year={2002}, month={Apr}, pages={231–237} } @article{glinka_wang_singh_marka_rashkeev_shirokaya_albridge_pantelides_tolk_lucovsky_2002, title={Characterization of charge-carrier dynamics in thin oxide layers on silicon by second harmonic generation}, volume={65}, number={19}, journal={Physical Review. B, Condensed Matter and Materials Physics}, author={Glinka, Y. D. and Wang, W. and Singh, S. K. and Marka, Z. and Rashkeev, S. N. and Shirokaya, Y. and Albridge, R. and Pantelides, S. T. and Tolk, N. H. and Lucovsky, G.}, year={2002}, pages={193103–1} } @article{lucovsky_2002, title={Correlations between electronic structure of transition metal atoms and performance of high-k gate dielectrics in advanced Si devices}, volume={303}, ISSN={["1873-4812"]}, DOI={10.1016/S0022-3093(02)00962-6}, abstractNote={This paper develops a classification scheme for non-crystalline dielectrics that separates them into three groups with different amorphous morphologies, and identifies a linear scaling relationship between average bond ionicity and oxygen atom coordination. The classification scheme is applied to transition metal silicate and aluminate alloys and provides a structural model for molecular orbital, MO, calculations that are based on the coordination and symmetry of transition metal atoms and the orbital energies of their oxygen neighbors. The MO calculations show that conduction band offset energies with respect to Si scale inversely with the energy difference between transition metal atomic n+1 s- and n d-states providing an important insight into the choice of alternative gate dielectrics for advanced Si devices.}, number={1}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G}, year={2002}, month={May}, pages={40–49} } @article{lim_kriventsov_jackson_haeni_schlom_balbashov_uecker_reiche_freeouf_lucovsky_2002, title={Dielectric functions and optical bandgaps of high-K dielectrics for metal-oxide-semiconductor field-effect transistors by far ultraviolet spectroscopic ellipsometry}, volume={91}, ISSN={["1089-7550"]}, DOI={10.1063/1.1456246}, abstractNote={A far ultraviolet (UV) spectroscopic ellipsometer system working up to 9 eV has been developed, and applied to characterize high-K-dielectric materials. These materials have been gaining greater attention as possible substitutes for SiO2 as gate dielectrics in aggressively scaled silicon devices. The optical properties of four representative high-K bulk crystalline dielectrics, LaAlO3, Y2O3-stabilized HfO2 (Y2O3)0.15–(HfO2)0.85, GdScO3, and SmScO3, were investigated with far UV spectroscopic ellipsometry and visible-near UV optical transmission measurements. Optical dielectric functions and optical band gap energies for these materials are obtained from these studies. The spectroscopic data have been interpreted in terms of a universal electronic structure energy scheme developed form ab initio quantum chemical calculations. The spectroscopic data and results provide information that is needed to select viable alternative dielectric candidate materials with adequate band gaps, and conduction and valence band offset energies for this application, and additionally to provide an optical metrology for gate dielectric films on silicon substrates.}, number={7}, journal={JOURNAL OF APPLIED PHYSICS}, author={Lim, SG and Kriventsov, S and Jackson, TN and Haeni, JH and Schlom, DG and Balbashov, AM and Uecker, R and Reiche, P and Freeouf, JL and Lucovsky, G}, year={2002}, month={Apr}, pages={4500–4505} } @article{johnson_hong_hinkle_lucovsky_2002, title={Electron trapping in non-crystalline Ta- and Hf-aluminates for gate dielectric applications in aggressively scaled silicon devices}, volume={46}, ISSN={["1879-2405"]}, DOI={10.1016/S0038-1101(02)00152-1}, abstractNote={Abstract The physical and electrical properties of non-crystalline Ta- and Hf-alumiunates, (Ta 2 O 5 ) x (Al 2 O 3 ) 1− x and (HfO 2 ) x (Al 2 O 3 ) 1− x , respectively, were studied. As-deposited films were homogeneous and pseudo-binary in character with increased thermal stability with respect to the respective end-member oxides. Capacitance–voltage and current density–voltage data as a function of temperature demonstrate that the Ta and Hf d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta 2 O 5 and HfO 2 with respect to Si. This work correlates the studies of Ta- and Hf-aluminates to develop a qualitative conduction band energy level scheme for the two alloys where the interfacial electrical properties are dominated by electron traps of the respective transition metal atoms, and/or or network defects associated with the alloy.}, number={11}, journal={SOLID-STATE ELECTRONICS}, author={Johnson, RS and Hong, JG and Hinkle, C and Lucovsky, G}, year={2002}, month={Nov}, pages={1799–1805} } @article{johnson_hong_hinkle_lucovsky_2002, title={Electron trapping in noncrystalline remote plasma deposited Hf- aluminate alloys for gate dielectric applications}, volume={20}, number={3}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Johnson, R. S. and Hong, J. G. and Hinkle, C. and Lucovsky, G.}, year={2002}, pages={1126–1131} } @article{fulton_lucovsky_nemanich_2002, title={Electronic states at the interface of Ti-Si oxide on Si(100)}, volume={20}, ISSN={["1071-1023"]}, DOI={10.1116/1.1493785}, abstractNote={The requirement for high K dielectrics for Si devices includes both a low interface state density and a band alignment that blocks both electrons and holes. Titanium dioxide materials are known to exhibit dielectric constants of 80 or higher depending on the crystal structure and, as such, are prime candidates for gate dielectrics. We employ an ultrathin layer of SiO2 prior to the formation of a Ti oxide to limit the density of defect states. The electronic structure is observed during the stepwise growth of the oxide using x-ray and ultraviolet photoemission spectroscopy. Measurements indicate Ti oxide states at approximately 2 eV below the Si valence band maximum suggesting that the TiO2 conduction band aligns with the Si conduction band. The results indicate nearly flat bands in the silicon consistent with a low interface state density.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Fulton, CC and Lucovsky, G and Nemanich, RJ}, year={2002}, pages={1726–1731} } @article{whitten_zhang_menon_lucovsky_2002, title={Electronic structure of SiO2: Charge redistribution contributions to the dynamic dipoles/effective charges of the infrared active normal modes}, volume={20}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Whitten, J. L. and Zhang, Y. and Menon, M. and Lucovsky, G.}, year={2002}, pages={1710–1719} } @article{lucovsky_zhang_rayner_appel_ade_whitten_2002, title={Electronic structure of high-k transition metal oxides and their silicate and aluminate alloys}, volume={20}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Zhang, Y. and Rayner, G. B. and Appel, G. and Ade, H. and Whitten, J. L.}, year={2002}, pages={1739–1747} } @article{johnson_lucovsky_hong_2002, title={Fixed charge and interface traps at heterovalent interfaces between Si(100) and non-crystalline Al2O3-Ta2O5 alloys}, volume={190}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(01)00889-3}, abstractNote={Characterization by Auger electron spectroscopy (AES) and Fourier transformation infrared spectroscopy (FTIR) confirms (Ta2O5)x(Al2O3)1−x alloys are homogeneous pseudo-binary alloys with increased thermal stability with respect to end member oxides, Ta2O5 and Al2O3. Capacitance–voltage (C–V) and current density–voltage (J–V) data as a function of temperate show that the Ta d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta2O5 with respect to Si.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Johnson, RS and Lucovsky, G and Hong, JG}, year={2002}, month={May}, pages={43–47} } @article{ulrich_johnson_hong_rowe_lucovsky_quinton_madey_2002, title={Interface electronic structure of Ta2O5-Al2O3 alloys for Si- field-effect transistor gate dielectric applications}, volume={20}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Ulrich, M. D. and Johnson, R. S. and Hong, J. G. and Rowe, J. E. and Lucovsky, G. and Quinton, J. S. and Madey, T. E.}, year={2002}, pages={1732–1738} } @article{misra_lucovsky_parsons_2002, title={Issues in high-kappa gate stack interfaces}, volume={27}, ISSN={["1938-1425"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000174442100022&KeyUID=WOS:000174442100022}, DOI={10.1557/mrs2002.73}, abstractNote={Abstract}, number={3}, journal={MRS BULLETIN}, author={Misra, V and Lucovsky, G and Parsons, GN}, year={2002}, month={Mar}, pages={212–216} } @article{choi_fleetwood_schrimpf_massengill_galloway_shaneyfelt_meisenheimer_dodd_schwank_lee_et al._2002, title={Long-term reliability degradation of ultrathin dielectric films due to heavy-ion irradiation}, volume={49}, ISSN={["1558-1578"]}, DOI={10.1109/TNS.2002.805389}, abstractNote={High-energy ion-irradiated 3.3-nm oxynitride film and 2.2-nm SiO/sub 2/-film MOS capacitors show premature breakdown during subsequent electrical stress. This degradation in breakdown increases with increasing ion linear energy transfer (LET), increasing ion fluence, and decreasing oxide thickness. The reliability degradation due to high-energy ion-induced latent defects is explained by a simple percolation model of conduction through SiO/sub 2/ layers with irradiation and/or electrical stress-induced defects. Monitoring the gate-leakage current reveals the presence of latent defects in the dielectric films. These results may be significant to future single-event effects and single-event gate rupture tests for MOS devices and ICs with ultrathin gate oxides.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Choi, BK and Fleetwood, DM and Schrimpf, RD and Massengill, LW and Galloway, KF and Shaneyfelt, MR and Meisenheimer, TL and Dodd, PE and Schwank, JR and Lee, YM and et al.}, year={2002}, month={Dec}, pages={3045–3050} } @article{khandelwal_niimi_lucovsky_lamb_2002, title={Low-temperature Ar/N-2 remote plasma nitridation of SiO2 thin films}, volume={20}, ISSN={["0734-2101"]}, DOI={10.1116/1.1513635}, abstractNote={Low-temperature nitridation of SiO2 thin films by Ar/N2 remote plasma processing was investigated using on-line Auger electron spectroscopy, angle-resolved x-ray photoelectron spectroscopy (ARXPS), and optical emission spectroscopy (OES). Nitridation experiments were performed at 300 °C using 30 W Ar/N2 remote plasmas at 0.1 and 0.3 Torr. Ar/N2 remote plasma exposure of 5 nm SiO2 films for 30 min results in nitrogen incorporation throughout the films, independent of process pressure and plasma reactor configuration (i.e., upstream versus downstream N2 injection). ARXPS indicates a N–Si3 local bonding configuration with second nearest neighbor oxygen atoms. Ar/N2 remote plasma exposure at 0.1 Torr results in higher nitrogen concentrations (8–10 at. %). Reactor configuration has a negligible effect at 0.1 Torr; conversely, downstream N2 injection results in higher nitrogen concentrations (5–6 at. %) than upstream injection (3–4 at. %) at 0.3 Torr. OES indicates that the Ar/N2 remote plasmas contain N2 triplet excited states and ground-state N atoms. The Ar emission intensities and the saturation N concentrations in the resultant films follow similar trends with processing pressure and reactor configuration; the N2 first positive emission intensities run counter to these trends. We infer that low-temperature SiO2 nitridation by Ar/N2 remote plasmas is a two-step process: O removal by Ar+ ion bombardment and N insertion by plasma-generated active N species. Moreover, the first step appears to be rate limiting under the conditions employed in this study. Annealing the oxynitride films in N2 at 900 °C decreases the N concentration and results in a more uniform nitrogen distribution.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Khandelwal, A and Niimi, H and Lucovsky, G and Lamb, HH}, year={2002}, pages={1989–1996} } @article{rayner_kang_zhang_lucovsky_2002, title={Nonlinear composition dependence of x-ray photoelectron spectroscopy and Auger electron spectroscopy features in plasma-deposited zirconium silicate alloy thin films}, volume={20}, ISSN={["2166-2746"]}, DOI={10.1116/1.1493788}, abstractNote={The local bonding of Zr, Si, and O atoms in plasma-deposited, and post-deposition annealed Zr silicate pseudobinary alloys [(ZrO2)x(SiO2)1−x] was studied by x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). Systematic decreases in XPS binding energies, and increases in AES kinetic energies with alloy composition x are consistent with an empirical chemical bonding model based on electronegativity equalization; however, there are significant departures from the predicted linear composition dependencies of that model. Deviations from linearity in the XPS compositional dependencies are correlated with dipolar network atom fields as determined from ab initio calculations. The nonlinearities in the x dependence of ZrMVV and OKVV AES spectral features are determined primarily by oxygen–atom coordination dependent shifts in valence band offset energies. The energy spread in the compositional dependence of binding energies (∼1.85 eV) for the XPS Zr 3d5/2 and Si 2p features combined with x-ray absorption spectroscopy data indicates that the conduction band offset energies between the Si substrate and Zr silicate dielectrics are alloy composition independent. Changes in O 1s XPS features in alloys with x∼0.3 to 0.6 as function of annealing temperature are consistent with a previously identified chemical phase separation that occurs after 60 s anneals at 900 °C in a nonoxidizing ambient, Ar.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Rayner, GB and Kang, D and Zhang, Y and Lucovsky, G}, year={2002}, pages={1748–1758} } @article{boehme_lucovsky_2002, title={Origins of silicon solar cell passivation by SiNx : H anneal}, volume={299}, ISSN={["0022-3093"]}, DOI={10.1016/S0022-3093(01)01135-8}, abstractNote={The origin of silicon solar cell passivation by the post-deposition anneal of hydrogenated silicon nitride (SiNx:H) anti-reflection (AR) coatings is investigated. The diffusion of hydrogen (H) in SiNx:H is dominated by fast diffusion of molecular hydrogen (H2) and ammonia (NH3) and not by slow atomic diffusion through covalent bonding sites. An anneal of the SiNx:H layers leads therefore to a rapid H loss into the environment and not into the silicon bulk. Instead of bulk passivation by H atoms, the improvement of the electronic properties is due to an Si/SiNx:H-interface passivation caused by the formation of a few monolayers of silicon dioxide, which removes the bonding topology related stress at the interface. Secondary ion mass spectroscopy (SIMS) scans on various Si/SiNx:H stacks with mono- and polycrystalline substrates and remote and direct plasma enhanced chemical vapor deposited nitrides were carried out and showed strong interface accumulation of oxygen.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Boehme, C and Lucovsky, G}, year={2002}, month={Apr}, pages={1157–1161} } @article{niimi_khandelwal_lamb_lucovsky_2002, title={Reaction pathways in remote plasma nitridation of ultrathin SiO2 films}, volume={91}, ISSN={["1089-7550"]}, DOI={10.1063/1.1419208}, abstractNote={Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N–Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation.}, number={1}, journal={JOURNAL OF APPLIED PHYSICS}, author={Niimi, H and Khandelwal, A and Lamb, HH and Lucovsky, G}, year={2002}, month={Jan}, pages={48–55} } @article{choi_fleetwood_massengill_schrimpf_galloway_shaneyfelt_meisenheimer_dodd_schwank_lee_et al._2002, title={Reliability degradation of ultra-thin oxynitride and Al2O3 gate dielectric films owing to heavy-ion irradiation}, volume={38}, DOI={10.1049/el:20020119}, abstractNote={The charge-to-breakdown of 3.3 nm oxynitride films shows significant degradation after irradiation with 342 MeV An ions. In contrast, 5.4 rim Al/sub 2/O/sub 3/ films exhibit much less degradation for similar heavy-ion stress.}, number={4}, journal={Electronics Letters}, author={Choi, B. K. and Fleetwood, D. M. and Massengill, L. W. and Schrimpf, R. D. and Galloway, K. F. and Shaneyfelt, M. R. and Meisenheimer, T. L. and Dodd, P. E. and Schwank, J. R. and Lee, Y. M. and et al.}, year={2002}, pages={157–158} } @article{wang_powell_johnson_lucovsky_aspnes_2002, title={Simplified bond-hyperpolarizability model of second harmonic generation: Application to Si-dielectric interfaces}, volume={20}, ISSN={["2166-2746"]}, DOI={10.1116/1.1493783}, abstractNote={We show that the anisotropies of second-harmonic-generation (SHG) intensities of singular and vicinal (111) and (001)Si–dielectric interfaces can be described accurately as dipole radiation originating from the anharmonic motion of bond charges parallel to the bond directions. This simplified bond-hyperpolarizability model not only provides a simpler and mathematically more efficient representation of SHG, but also allows a direct physical interpretation at the bond level, which was lacking in previous approaches. Application to oxidized and nitrided Si–SiO2 interfaces provides new insight into bonding that occurs at these interfaces as well as the origin of SHG.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Wang, JFT and Powell, GD and Johnson, RS and Lucovsky, G and Aspnes, DE}, year={2002}, pages={1699–1705} } @article{felix_fleetwood_schrimpf_hong_lucovsky_schwank_shaneyfelt_2002, title={Total-dose radiation response of hafnium-silicate capacitors}, volume={49}, ISSN={["1558-1578"]}, DOI={10.1109/TNS.2002.805392}, abstractNote={Hafnium-silicate capacitors with 4.5-nm equivalent oxide thickness gate insulators were irradiated with 10-keV X-rays. The midgap and flatband voltage shifts in these devices increase linearly with dose and are significantly larger than the shifts seen in high quality, thermal SiO/sub 2/ gate oxides of similar electrical thickness. The standard trapping efficiency equation is adapted for calculating effective trapping efficiencies in alternative dielectrics and used to compare the radiation response of hafnium silicate to SiO/sub 2/ from several manufacturers. The effects of common reliability screens such as "burn-in" and bias stress tests are also discussed. It is shown that baking these devices can degrade their capacitance-voltage characteristics, and large applied voltages inject excess charge into the dielectric, which can lead to a misinterpretation of the radiation results. However, the radiation responses of these devices, coupled with the demonstrated resistance of these films to heavy-ion induced gate rupture in previous studies, suggest that alternative dielectrics to SiO/sub 2/ potentially could be integrated into future electronics technologies for many low-power space applications.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Felix, JA and Fleetwood, DM and Schrimpf, RD and Hong, JG and Lucovsky, G and Schwank, JR and Shaneyfelt, MR}, year={2002}, month={Dec}, pages={3191–3196} } @article{osburn_kim_han_de_yee_gannavaram_lee_lee_luo_zhu_et al._2002, title={Vertically scaled MOSFET gate stacks and junctions: How far are we likely to go?}, volume={46}, ISSN={["2151-8556"]}, DOI={10.1147/rd.462.0299}, abstractNote={The vertical scaling requirements for gate stacks and for shallow extension junctions are reviewed. For gate stacks, considerable progress has been made in optimizing oxide/nitride and oxynitride dielectrics to reduce boron penetration and dielectric leakage compared to pure SiO2 in order to allow sub-2-nm dielectrics. Several promising alternative material candidates exist for 1-nm equivalent oxide thickness (EOT)-for example, HfO2, ZrO2, and their silicates. Nevertheless, considerable challenges lie ahead if we are to achieve an EOT of less than 0.5 nm. If only a single molecular interface layer of oxide is needed to preserve high channel mobility, it seems likely that an EOT of 0.4-0.5 nm would represent the physical limit of dielectric scaling, but even then with a very high leakage (∼105 A/cm2). For junctions, the main challenge lies in providing low parasitic series resistance as depths are scaled in order to reduce short-channel effects. Because contacts are ultimately expected to dominate the parasitic resistance, low-barrier-height contacts and/or very heavily doped junctions will be required. While ion implantation and annealing processes can certainly be extended to meet the junction-depth and series-resistance requirements for additional generations, alternative low-temperature deposition processes that produce either metastably or extraordinarily activated, abruptly doped regions seem better suited to solve the contact resistance problem.}, number={2-3}, journal={IBM JOURNAL OF RESEARCH AND DEVELOPMENT}, author={Osburn, CM and Kim, I and Han, SK and De, I and Yee, KF and Gannavaram, S and Lee, SJ and Lee, CH and Luo, ZJ and Zhu, W and et al.}, year={2002}, pages={299–315} } @article{fan_nieh_lee_lucovsky_brown_register_banerjee_2002, title={Voltage- and temperature-dependent gate capacitance and current model: Application to ZrO2 n-channel MOS capacitor}, volume={49}, ISSN={["1557-9646"]}, DOI={10.1109/TED.2002.804713}, abstractNote={Based on the energy-dispersion relation in each region of the gate-dielectric-silicon system, a tunneling model is developed to understand the gate current as a function of voltage and temperature. The gate capacitance is self-consistently calculated from Schrodinger and Poisson equations subject to the Fermi-Dirac statistics, using the same band structure in the silicon as used for tunneling injection. Franz two-band dispersion is assumed in the dielectric bandgap. Using a Wentzel-Kramer-Brillouin (WKB)-based approach, direct and Fowler-Nordheim (FN) tunneling and thermionic emission are considered simultaneously. The model is implemented for both the silicon conduction and valence bands and both gate- and substrate-injected currents. ZrO/sub 2/ NMOSFETs were studied through temperature-dependent C/sub g/-V/sub g/ and I/sub g/-V, simulations. The extracted band gaps and band offsets of the ZrO/sub 2/- and interfacial-Zr-silicate-layer are found to be comparable with the reported values. The gate currents in ZrO/sub 2/-NMOSCAPs are found to be primarily contributed from the silicon conduction band and tunneling appears to be the most probable primary mechanism through the dielectric. Oscillations of gate currents and kinks of gate capacitance were observed near the flat-band in the experiments. These phenomena might be caused by the interface states.}, number={11}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Fan, YY and Nieh, RE and Lee, JC and Lucovsky, G and Brown, GA and Register, LF and Banerjee, SK}, year={2002}, month={Nov}, pages={1969–1978} } @article{lucovsky_whitten_zhang_2001, title={A molecular orbital model for the electronic structure of transition metal atoms in silicate and aluminate alloys}, volume={59}, ISSN={["1873-5568"]}, DOI={10.1016/S0167-9317(01)00653-0}, abstractNote={This paper develops a molecular orbital, MO, model that demonstrates that the electronic structure of non-crystalline oxide dielectrics depends primarily on (i) the coordination and symmetry of transition metal atoms and (ii) the orbital energies of their oxygen neighbors. The calculations indicate that the anti-bonding d-states which determine the minimum energy gap and the conduction band offset energy with respect to Si are a local property of the transition metal–oxygen bonding and are insensitive to second neighbor alloy atoms such as Si or Al.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G and Whitten, JL and Zhang, Y}, year={2001}, month={Nov}, pages={329–334} } @article{lazar_misra_johnson_lucovsky_2001, title={Characteristics of metalorganic remote plasma chemical vapor deposited Al2O3 gate stacks on SiC metal-oxide-semiconductor devices}, volume={79}, ISSN={["1077-3118"]}, DOI={10.1063/1.1392973}, abstractNote={Metalorganic remote plasma chemical vapor deposited SiO2/Al2O3 stacks were deposited on 6H p-type silicon SiC to fabricate a high-k gate stack SiC metal–oxide–semiconductor capacitors. Capacitance–voltage (C–V) and current–voltage (I–V) measurements were performed. C–V characteristics showed excellent properties at room and higher temperatures. Samples exhibited a slight negative flatband shift from which the net oxide charge (Qox) was calculated. Low leakage currents were observed even at high temperatures. I–V characteristics of Al2O3 were superior to those observed on AlN and SiO2 dielectrics on SiC.}, number={7}, journal={APPLIED PHYSICS LETTERS}, author={Lazar, HR and Misra, V and Johnson, RS and Lucovsky, G}, year={2001}, month={Aug}, pages={973–975} } @article{lucovsky_rayner_johnson_2001, title={Chemical and physical limits on the performance of metal silicate high-k gate dielectrics}, volume={41}, ISSN={["0026-2714"]}, DOI={10.1016/S0026-2714(01)00046-4}, abstractNote={This research identifies four significant limitations on the performance of high-k alternative gate dielectrics that derive from inherent relationships between (i) chemical bonding and physical properties, and (ii) device operation. These include interfacial band offset energies, thermal stability against chemical phase separation, coordination dependent dielectric constants, and interfacial fixed charge. Then these are applied to transition metal silicate alloys, e.g., (ZrO2)x(SiO2)1−x. The paper also includes results for other high-k oxides, Al2O3 and Ta2O5, and their alloys that relate to the issues addressed in this paper, and in particular help to put the results on the silicate alloys into a better perspective. This portion of the paper provides additional perspective with regard to the differences in the chemical and physical limitations of elemental oxides and binary oxide alloys.}, number={7}, journal={MICROELECTRONICS RELIABILITY}, author={Lucovsky, G and Rayner, GB and Johnson, RS}, year={2001}, month={Jul}, pages={937–945} } @article{boehme_lucovsky_2001, title={Dissociation reactions of hydrogen in remote plasma-enhanced chemical-vapor-deposition silicon nitride}, volume={19}, ISSN={["0734-2101"]}, DOI={10.1116/1.1398538}, abstractNote={Dominant hydrogen dissociation reactions during annealing of hydrogenated amorphous-silicon nitride were determined by comparison of the bond density dynamics with various reaction models. The sample material was produced with remote plasma-enhanced chemical-vapor deposition, deposited at high-ammonia-to-silane flow ratios (ammonia rich). The heat treatment was performed with rapid thermal annealing at various annealing temperatures and times as well as samples containing different stoichiometries and isotopes (hydrogenated and deuterated). The experiments showed that hydrogen loss during annealing is mostly due to molecular hydrogen (H2) release as long as SiH bonds are contained in the film. After their exhaustion, an ammonia (NH3) producing reaction prevails at temperatures between 600 and 900 °C.}, number={5}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Boehme, C and Lucovsky, G}, year={2001}, pages={2622–2628} } @article{johnson_hong_lucovsky_2001, title={Electron traps at interfaces between Si(100) and noncrystalline Al2O3, Ta2O5, and (Ta2O5)(x)(Al2O3)(1-x) alloys}, volume={19}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Johnson, R. S. and Hong, J. G. and Lucovsky, G.}, year={2001}, pages={1606–1610} } @article{lucovsky_rayner_kang_appel_johnson_zhang_sayers_ade_whitten_2001, title={Electronic structure of noncrystalline transition metal silicate and aluminate alloys}, volume={79}, ISSN={["0003-6951"]}, DOI={10.1063/1.1404997}, abstractNote={A localized molecular orbital description (LMO) for the electronic states of transition metal (TM) noncrystalline silicate and aluminate alloys establishes that the lowest conduction band states are derived from d states of TM atoms. The relative energies of these states are in agreement with the LMO approach, and have been measured by x-ray absorption spectroscopy for ZrO2–SiO2 alloys, and deduced from an interpretation of capacitance–voltage and current–voltage data for capacitors with Al2O3–Ta2O5 alloy dielectrics. The LMO model yields a scaling relationship for band offset energies providing a guideline for selection of gate dielectrics for advanced Si devices.}, number={12}, journal={APPLIED PHYSICS LETTERS}, author={Lucovsky, G and Rayner, GB and Kang, D and Appel, G and Johnson, RS and Zhang, Y and Sayers, DE and Ade, H and Whitten, JL}, year={2001}, month={Sep}, pages={1775–1777} } @article{lucovsky_2001, title={Electronic structure, amorphous morphology and thermal stability of transition metal oxide and chalcogenide alloys}, volume={3}, number={2}, journal={Journal of Optoelectronics and Advanced Materials}, author={Lucovsky, G.}, year={2001}, pages={155–166} } @article{johnson_lucovsky_hong_2001, title={Fixed charge and interface traps at heterovalent interfaces between Si(100) and non-crystalline Al2O3-Ta2O5 alloys}, volume={59}, ISSN={["1873-5568"]}, DOI={10.1016/S0167-9317(01)00673-6}, abstractNote={Characterization by Auger electron spectroscopy, AES, and Fourier transformation infrared spectroscopy, FTIR, confirm that (Ta2O5)x(Al2O3)1−x alloys are homogeneous with pseudo-binary in character, and display increased thermal stability. Capacitance–voltage, C–V, and current density–voltage, J–V, data as a function of temperate show that the Ta d-states of the alloys act as localized electron traps, and are at an energy approximately equal to the conduction band offset of Ta2O5 with respect to Si.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Johnson, RS and Lucovsky, G and Hong, JG}, year={2001}, month={Nov}, pages={385–391} } @article{massengill_choi_fleetwood_schrimpf_galloway_shaneyfelt_meisenheimer_dodd_schwank_lee_et al._2001, title={Heavy-ion-induced breakdown in ultra-thin gate oxides and high-k dielectrics}, volume={48}, ISSN={["1558-1578"]}, DOI={10.1109/23.983149}, abstractNote={Presents experimental results on single-event-induced breakdown in sub-5-nm plasma-enhanced SiO/sub 2/, nitrided SiO/sub 2/, Al/sub 2/O/sub 3/, HfO/sub 2/, and Zr/sub 0.4/Si/sub 1.6/O/sub 4/ dielectrics typical of current and future-generation commercial gate oxides. These advanced oxides are found to be quite resistant to ion-induced breakdown. Radiation-induced soft breakdown was observed in some films with 342 MeV Au (LET=80 MeV/mg/cm/sup 2/) but not 340 MeV I (LET=60 MeV/mg/cm/sup 2/). The critical voltage to hard breakdown was found to scale with the square root of the physical oxide thickness, not with the energy stored on the gate capacitance. Alternative dielectrics with equivalent oxide thickness substantially below their physical thickness were found to exhibit significantly higher voltage to hard breakdown than SiO/sub 2/ counterparts. All of the samples reached ion-induced hard breakdown at applied voltages well above typical operating power-supply voltages; these findings bode well for the use of advanced commercial integrated circuits in space systems.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={Massengill, LW and Choi, BK and Fleetwood, DM and Schrimpf, RD and Galloway, KF and Shaneyfelt, MR and Meisenheimer, TL and Dodd, PE and Schwank, JR and Lee, YM and et al.}, year={2001}, month={Dec}, pages={1904–1912} } @article{johnson_lucovsky_baumvol_2001, title={Physical and electrical properties of noncrystalline Al2O3 prepared by remote plasma enhanced chemical vapor deposition}, volume={19}, ISSN={["1520-8559"]}, DOI={10.1116/1.1379316}, abstractNote={Noncrystalline Al2O3 dielectric films have been synthesized by remote plasma enhanced chemical vapor deposition (RPECVD) and deposited on (i) H-terminated Si(100) and (ii) on SiO2 prepared by remote plasma assisted oxidation and RPECVD on Si(100) substrates using organometallic source gases injected downstream from a He/O2 plasma. Chemical composition and morphology of the Al2O3 films and their interfaces have been studied by Auger electron spectroscopy (AES), Fourier transform infrared spectroscopy, nuclear resonance profiling (NRP), and x-ray diffraction (XRD). Previous studies in which Al2O3 was deposited by thermal CVD, rapid thermal CVD, (RTCVD), direct PECVD, and physical vapor deposition generally resulted in relatively thick SiO2 or Al-silicate interfacial layers which impact adversely on the highest attainable capacitance. In line AES and NRP indicate the as-deposited RPECVD films are fully oxidized on deposition, and their interfaces can be chemically abrupt with Si oxide or Al silicate interfacial layers that are no more than 0.6 to 0.8 nm thick. However, these relatively abrupt interfaces do not ensure good device performance. Electrical measurements indicate negative fixed charge on the order of 1012 charges/cm2. The fixed charge resides at the Al2O3 interface, and can be moved away from the silicon substrate by deposition of a thin, ∼1–2 nm, intermediate layer of RPECVD SiO2.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Johnson, RS and Lucovsky, G and Baumvol, I}, year={2001}, pages={1353–1360} } @article{lucovsky_2001, title={Transition from thermally grown gate dielectrics to deposited gate dielectrics for advanced silicon devices: A classification scheme based on bond ionicity}, volume={19}, ISSN={["1520-8559"]}, DOI={10.1116/1.1379317}, abstractNote={This article discusses the bonding chemistry of alternative high-k gate dielectrics that have been considered for advanced complementary metal–oxide–semiconductor devices. The replacement of SiO2 by alternative gate dielectrics requires a transition from a thermally deposited native oxide to a deposited gate dielectric. A classification scheme based on bond ionicity separates alternative gate dielectric materials into three groups that are differentiated by their amorphous morphology and electronic structure and properties. This scheme establishes trends between bond ionicity and (i) the average bonding coordination of the constituent atoms, (ii) the thermal stability against chemical phase separation and/or crystallization, and (iii) the dielectric constant. It also provides a framework for the evaluation of different criteria that have been proposed for optimization of alternative high-k metal and transition metal oxides, and their alloys with SiO2 and Al2O3. Based on technology targets for device and wafer performance and reliability, there is as yet no ideal replacement for SiO2 that increases capacitance while at the same time maintaining low levels of interfacial defects.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lucovsky, G}, year={2001}, pages={1553–1561} } @article{wu_lee_lucovsky_2000, title={1.6 nm oxide equivalent gate dielectrics using nitride/oxide (N/O) composites prepared by RPECVD/oxidation process}, volume={21}, ISSN={["1558-0563"]}, DOI={10.1109/55.823574}, abstractNote={Ultrathin nitride/oxide (/spl sim/1.5/0.7 nm) dual layer gate dielectrics have been formed using remote plasma enhanced CVD of nitride onto plasma-grown oxide interface layers. High accumulation capacitance (1.72 /spl mu/F/cm/sup 2/) is measured and the equivalent oxide thickness is 1.6 nm after quantum effect corrections. Compared to 1.6 nm oxides, a tunneling current reduction of more than 100 fold is found for devices with 1.6 nm N/O dielectrics due to increased film thickness and interface nitridation. Hole channel mobility decreases by about 5%, yielding very good P-MOSFET current drive. Excellent dielectric reliability and interface robustness are also demonstrated for P-MOSFET's with N/O dielectrics.}, number={3}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Wu, YD and Lee, YM and Lucovsky, G}, year={2000}, month={Mar}, pages={116–118} } @article{lucovsky_phillips_2000, title={Application of constraint theory to Si-dielectric interfaces in a-Si: H and poly-Si thin film transistors (TFTs)}, volume={266}, number={2000 May}, journal={Journal of Non-crystalline Solids}, author={Lucovsky, G. and Phillips, J. C.}, year={2000}, pages={1335–1339} } @article{niimi_yang_lucovsky_keister_rowe_2000, title={Barrier layer model determined by XPS data for tunneling current reductions at monolayer nitrided Si-SiO(2) interfaces}, volume={166}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(00)00480-3}, abstractNote={This paper builds on previous work that has demonstrated that interfacial suboxide transition regions at Si–SiO2 interfaces modify tunneling oscillations in the Fowler–Nordheim regime. This paper extends this approach to the direct tunneling regime, emphasizing differences in interfacial transition regions between Si–SiO2 interfaces with and without monolayer level interface nitridation. Tunneling currents in devices with the same oxide-equivalent thickness are reduced by monolayer level interfacial nitrogen with respect to devices without interface nitridation for both substrate and gate injection in both the direct and Fowler–Nordheim tunneling regimes. These decreases have been combined with physically thicker stacked oxide/nitride dielectrics to yield significantly reduced tunneling compared to devices with oxides of the same equivalent oxide thickness, tox-eq; e.g., tunneling currents ∼5×10−3 A/cm2 at 1 V for tox-eq∼1.6 nm have been obtained.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Niimi, H and Yang, HY and Lucovsky, G and Keister, JW and Rowe, JE}, year={2000}, month={Oct}, pages={485–491} } @article{therrien_lucovsky_davis_2000, title={Charge redistribution at GaN-Ga2O3 interfaces: a microscopic mechanism for low defect density interfaces in remote-plasma-processed MOS devices prepared on polar GaN faces}, volume={166}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(00)00485-2}, abstractNote={Interfacial defect densities are typically two orders of magnitude higher at [III–V]–dielectric interfaces than at Si–SiO2 interfaces. This paper demonstrates GaN devices with significantly reduced interfacial defect densities using a two-step remote plasma process to form the GaN–dielectric interface and then deposit the dielectric film. Separate plasma oxidation and deposition steps have previously been used for fabrication of aggressively scaled Si devices. Essentially, the same 300°C remote plasma processing has been applied to GaN metal–oxide–semiconductor (MOS) capacitors and field effect transistors (FETs). This paper (i) discusses the low-temperature plasma process for GaN device fabrication, (ii) briefly reviews GaN device performance, and then (iii) presents a chemical bonding model that provides a basis for the improved interface electrical properties.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Therrien, R and Lucovsky, G and Davis, R}, year={2000}, month={Oct}, pages={513–519} } @article{brillson_young_white_schafer_niimi_lee_lucovsky_2000, title={Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces}, volume={18}, number={3}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Brillson, L. J. and Young, A. P. and White, B. D. and Schafer, J. and Niimi, H. and Lee, Y. M. and Lucovsky, G.}, year={2000}, pages={1737–1741} } @article{wolfe_lucovsky_2000, title={Formation of nano-crystalline Si by thermal annealing of SiOx, SiCx and SiOyCx amorphous alloys: model systems for advanced device processing}, volume={266}, number={2000 May}, journal={Journal of Non-crystalline Solids}, author={Wolfe, D. M. and Lucovsky, G.}, year={2000}, pages={1009–1014} } @article{boehme_lucovsky_2000, title={H loss mechanism during anneal of silicon nitride: Chemical dissociation}, volume={88}, ISSN={["0021-8979"]}, DOI={10.1063/1.1321730}, abstractNote={Remote plasma enhanced chemical vapor deposited silicon nitride (SixNyHz), produced at high ammonia to silane flow rates (ammonia rich) shows a reduction of hydrogen during rapid thermal anneal at temperatures that exceed the deposition temperature. This H release could be either due to a “slow” atomic diffusion of the covalent bonded atoms between bonding sites, or to a “fast” molecular diffusion of hydrogen containing molecules (e.g., H2, NH3, SiH4), which dissociate from the network before they diffuse. In order to determine the dominant mechanism, layers of deuterated and hydrogenated silicon nitride on top of a crystalline Si substrate were annealed and the development of the NH- and ND-area densities were measured with Fourier transform infrared spectroscopy. Comparison of theoretical models with the measurements showed that chemical dissociation and subsequent rapid diffusion are the dominant processes. These results were confirmed by secondary ion mass spectroscopy. The experiments indicate that the H reduction in silicon nitride antireflection coatings of solar cells is mostly due to H migration out of the system and not into the Si area and make the hypothesis that postdeposition annealing of solar cell antireflection coatings can cause H-related bulk passivation of the underlying c-Si therefore questionable.}, number={10}, journal={JOURNAL OF APPLIED PHYSICS}, author={Boehme, C and Lucovsky, G}, year={2000}, month={Nov}, pages={6055–6059} } @article{lucovsky_niimi_wu_yang_2000, title={Independent interface and bulk film contributions to reduction of tunneling currents in stacked oxide/nitride gate dielectrics with monolayer nitrided interfaces}, volume={159}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(00)00071-4}, abstractNote={Direct tunneling limits aggressive scaling of thermally-grown oxides to about ∼1.6 nm, a thickness at which the tunneling current at 1 V is ∼1 A/cm2. This paper presents experimental results, supported by interface characterizations and model calculations, which demonstrate that multi-layer or stacked gate dielectrics prepared by remote plasma processing comprised of (i) ultra-thin nitrided SiO2 interface layers, and (ii) either silicon nitride or oxynitride bulk dielectric films, can extend the oxide-equivalent thickness, tox-eq, limit down to ∼1.1–1.0 nm. A similar stacked gate dielectric, which substitutes higher-k oxides such as Zr(Hf)O2–SiO2 ‘silicate’ alloys or Ta2O5 for the nitrides or oxynitride alloys, is projected to further reduce tox-eq to ∼0.6–0.7 nm.}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Niimi, H and Wu, Y and Yang, H}, year={2000}, month={Jun}, pages={50–61} } @article{lucovsky_yang_niimi_keister_rowe_thorpe_phillips_2000, title={Intrinsic limitations on device performance and reliability from bond-constraint induced transition regions at interfaces of stacked dielectrics}, volume={18}, number={3}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Yang, H. and Niimi, H. and Keister, J. W. and Rowe, J. E. and Thorpe, M. F. and Phillips, J. C.}, year={2000}, pages={1742–1748} } @article{lucovsky_yang_niimi_thorpe_phillips_2000, title={Intrinsic limitations on ultimate device performance and reliability at (i) semiconductor-dielectric interfaces and (ii) internal interfaces in stacked dielectrics}, volume={18}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Yang, H. and Niimi, H. and Thorpe, M. F. and Phillips, J. C.}, year={2000}, pages={2179–2186} } @article{lucovsky_phillips_2000, title={Limitations for aggressively scaled CMOS Si devices due to bond coordination constraints and reduced band offset energies at Si-high-k dielectric interfaces}, volume={166}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(00)00482-7}, abstractNote={The three chemical bonding effects at Si-dielectric interfaces that are important in substituting alternative gate dielectric materials for SiO2 in aggressively scaled CMOS are (i) the character of the interface bonds, either isovalent with bond and nuclear charge balanced as in Si–SiO2, or heterovalent, where there is an inherent mismatch between bond and nuclear charge, (ii) physical bonding constraints related to the average number of bonds/atom, Nav and (iii) reduced conduction band offset energies in transition metal (T-M) elemental and binary oxides that are derived from increased ionic bonding and d-state contributions to the lowest conduction bands. These effects are discussed with respect to several different emerging high-k materials including (i) nitrides, (ii) T-M oxides, (iii) alloys of SiO2 and T-M oxides and (iv) Al2O3.}, number={1-4}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Phillips, JC}, year={2000}, month={Oct}, pages={497–503} } @article{white_brillson_lee_fleetwood_schrimpf_pantelides_lee_lucovsky_2000, title={Low energy electron-excited nanoscale luminescence: A tool to detect trap activation by ionizing radiation}, volume={47}, ISSN={["1558-1578"]}, DOI={10.1109/23.903765}, abstractNote={Ultra-thin SiO/sub 2//Si gate dielectric structures exposed to heavy X-ray irradiation exhibit optical emission characteristic of interface traps. Low energy electron-excited luminescence spectroscopy with nanometer-scale depth resolution yields a characteristic spectral energy and excitation depth dependence. Ultra-thin (5 nm) oxide films on Si substrates exposed to 10 keV, 7.6 Mrad(SiO/sub 2/) [13.7 Mrad (Si)] X-ray irradiation introduces trap densities on the order of 10/sup 11/ cm/sup -2/ ev/sup -1/, localized near the intimate SiO/sub 2/-Si interface. This density is consistent with the trapped oxide and interface charge densities expected based on observed capacitance-voltages shifts of thicker oxides, their corresponding charge densities, and the proportionally smaller charge densities expected for the thinner oxide layers in this study.}, number={6}, journal={IEEE TRANSACTIONS ON NUCLEAR SCIENCE}, author={White, BD and Brillson, LJ and Lee, SC and Fleetwood, DM and Schrimpf, RD and Pantelides, ST and Lee, YM and Lucovsky, G}, year={2000}, month={Dec}, pages={2276–2280} } @article{lucovsky_rayner_2000, title={Microscopic model for enhanced dielectric constants in low concentration SiO2-rich noncrystalline Zr and Hf silicate alloys}, volume={77}, ISSN={["0003-6951"]}, DOI={10.1063/1.1320860}, abstractNote={Dielectric constants, k, of Zr(Hf) silicate alloy gate dielectrics obtained from analysis of capacitance–voltage curves of metal–oxide–semiconductor capacitors with 3–6 at. % Zr(Hf) are significantly larger than estimates of k based on linear extrapolations between SiO2 and compound silicates, Zr(Hf)SiO4. Analysis of infrared spectra of Zr silicate alloys with 3–16 at. % Zr indicates increases in the coordination of Zr to O atoms from 4 to approximately 8 with increasing Zr content. The major contributions to enhancements in k in these low Zr(Hf) content alloys are explained by a transverse infrared effective charge that scales inversely with increasing Zr–O bond coordination.}, number={18}, journal={APPLIED PHYSICS LETTERS}, author={Lucovsky, G and Rayner, GB}, year={2000}, month={Oct}, pages={2912–2914} } @article{johnson_niimi_lucovsky_2000, title={New approach for the fabrication of device-quality Ge/GeO2/SiO2 interfaces using low temperature remote plasma processing}, volume={18}, ISSN={["0734-2101"]}, DOI={10.1116/1.582331}, abstractNote={It has been shown that low temperature (300 °C) remote plasma enhanced processing can separately and independently control interface formation and bulk oxide deposition on silicon substrates. Plasma processing is followed by a low thermal budget thermal anneal, e.g., 30 s at 900 °C. In this article, this process has been modified and applied to germanium substrates to determine if it can provide a successful pathway to device-quality Ge–dielectric interfaces. The new process also employs a three-step process: (i) an O2/He plasma-assisted, predeposition oxidation of the germanium surface to form a superficial germanium–oxide passivating film, (ii) deposition of a SiO2 bulk film by remote plasma-enhanced chemical vapor deposition from SiH4 and O2, and (iii) a postdeposition anneal for chemical and structural relaxation. The resulting interfaces are improved by the predeposition, plasma-assisted oxidation step, but are still far too defective for device applications.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Johnson, RS and Niimi, H and Lucovsky, G}, year={2000}, pages={1230–1233} } @article{lucovsky_yang_wu_niimi_2000, title={Plasma processed ultra-thin SiO2 interfaces far advanced silicon NMOS and PMOS devices: applications to Si-oxide Si oxynitride, Si-oxide Si nitride and Si-oxide transition metal oxide stacked gate dielectrics}, volume={374}, ISSN={["1879-2731"]}, DOI={10.1016/S0040-6090(00)01153-6}, abstractNote={Abstract The substitution of alternative gate dielectrics for thermally-grown SiO 2 and nitrided SiO 2 in aggressively scaled devices requires a significant processing change in going from thermally-grown to deposited dielectrics. This requires separate and independent steps for (i) the formation of Si-dielectric interface and (ii) the deposition of the dielectric thin film, which can be (a) Si nitride, or a Si oxynitride alloy, or (b) a high- k oxide. It is demonstrated that ultra-thin, nitrided Si–SiO 2 interface layers prepared by 300°C remote plasma processing can be effective in insulating device performance and reliability from deleterious effects associated direct deposition of alternative dielectric materials directly on to hydrogen-terminated Si surfaces. These interfaces perform equally well with Si nitride, Si oxynitride and high- k oxides, and contribute approximately 0.3–0.4 nm to the overall electrical oxide thickness (EOT), limiting aggressive scaling of EOT to approximately 0.6 nm.}, number={2}, journal={THIN SOLID FILMS}, author={Lucovsky, G and Yang, HY and Wu, Y and Niimi, H}, year={2000}, month={Oct}, pages={217–227} } @article{lucovsky_wu_niimi_yang_keister_rowe_2000, title={Separate and independent reductions in direct tunneling in oxide/nitride stacks with monolayer interface nitridation associated with the (i) interface nitridation and (ii) increased physical thickness}, volume={18}, ISSN={["0734-2101"]}, DOI={10.1116/1.582318}, abstractNote={Direct tunneling limits aggressive scaling of thermally grown oxides to about 1.6 nm, a thickness at which the tunneling current density Jg at 1 V is ∼1 A/cm2. This article demonstrates that stacked gate dielectrics prepared by remote plasma processing and including (i) ultrathin nitrided SiO2 interfacial layers and (ii) either silicon nitride or oxynitride bulk dielectrics can extend the equivalent oxide thickness to 1.1–1.0 nm before Jg exceeds 1 A/cm2. Significant reductions in direct tunneling are derived from (i) interface nitridation at the monolayer level and (ii) the increased physical thickness of the nitride or oxynitride alloy layers. The “portability” of the interface contribution is demonstrated by combining the nitrided SiO2 interface layers with transition-metal oxides, e.g., Ta2O5, in stacked gate dielectric structures and obtaining essentially the same reductions in tunneling current on n- and p-type substrates with respect to non-nitrided plasma-grown interface layers.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lucovsky, G and Wu, Y and Niimi, H and Yang, H and Keister, J and Rowe, JE}, year={2000}, pages={1163–1168} } @article{yang_niimi_keister_lucovsky_2000, title={The effects of interfacial sub-oxide transition regions and monolayer level nitridation on tunneling currents in silicon devices}, volume={21}, ISSN={["0741-3106"]}, DOI={10.1109/55.821673}, abstractNote={Direct tunneling (D-T) in Si metal-oxide-semiconductor (MOS) devices having 1.8 to 3 nm thick gate oxides is reduced approximately tenfold by monolayer Si-dielectric interface nitridation with respect to devices with nonnitrided interfaces. The reduction is independent of gate oxide-equivalent thickness, and gate or substrate injection, and extends into the Fowler-Nordheim tunneling (F-N-T) regime for thicker oxides as well. A barrier layer model, including sub-oxide transition regions, has been developed for the interface electronic structure for tunneling calculations using X-ray photoelectron spectroscopy data. These calculations provide a quantitative explanation for the observed tunneling current reductions.}, number={2}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Yang, H and Niimi, H and Keister, JW and Lucovsky, G}, year={2000}, month={Feb}, pages={76–78} } @article{wu_lucovsky_lee_2000, title={The performance and reliability of PMOSFET's with ultrathin silicon nitride/oxide stacked gate dielectrics with nitrided Si-SiO2 interfaces prepared by remote plasma enhanced CVD and post-deposition rapid thermal annealing}, volume={47}, ISSN={["1557-9646"]}, DOI={10.1109/16.848278}, abstractNote={Ultrathin (/spl sim/1.9 nm) nitride/oxide (N/O) dual layer gate dielectrics have been prepared by the remote plasma enhanced chemical vapor deposition (RPECVD) of Si/sub 3/N/sub 4/ onto oxides. Compared to PMOSFET's with heavily doped p/sup +/-poly-Si gates and oxide dielectrics, devices incorporating the RPECVD stacked nitrides display reduced tunneling current, effectively no boron penetration and improved interface characteristics. By preventing boron penetration into the bulk oxide and channel region, gate dielectric reliability and short channel effects are significantly improved. The hole mobility in devices with N/O dielectrics with equivalent oxide thickness between 1.8 nm and 3.0 nm is not significantly degraded. Because nitrogen is transported to the substrate/dielectric interface during post-deposition annealing, degradation of mobility during hot carrier stressing is significantly reduced for N/O devices. Compared with oxide, the tunneling current for N/O films with /spl sim/1.9 nm equivalent oxide thickness is lower by about an order of magnitude due to the larger physical thickness. Suppression of boron transport in nitride layers is explained by a percolation model in which boron transport is blocked in sufficiently thick nitrides, and is proportional to the oxide fraction in oxynitride alloys.}, number={7}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Wu, Y and Lucovsky, G and Lee, YM}, year={2000}, month={Jul}, pages={1361–1369} } @article{wu_xiang_yang_lucovsky_lin_2000, title={Time-dependent dielectric wearout technique with temperature effect for reliability test of ultrathin (< 2.0 nm) single layer and dual layer gate oxides}, volume={40}, ISSN={["0026-2714"]}, DOI={10.1016/s0026-2714(00)00103-7}, abstractNote={Ultrathin gate oxide is essential for low supply voltage and high drive current for ULSI devices. The continuous scaling of oxide thickness has been a challenge on reliability characterization with conventional time-dependent dielectric breakdown (TDDB) technique. A new technique, the time-dependent dielectric wearout (TDDW), is proposed as a more practical and effective way to measure oxide reliability and breakdown compared to conventional TDDB methodology. The wearout of oxide is defined as the gate current reaches a critical current density with the circuit operating voltage level. It is shown that although a noisy soft breakdown always exists for ultrathin oxide, with constant-voltage stressing, a big runaway can also be observed for oxides down to 1.8 nm by monitoring the I–V characteristics at a reduced voltage. Devices are found still working after soft breakdowns, but no longer functional after the big runaway. However, by applying E-model to project dielectric lifetime, it shows that the dielectric lifetime is almost infinity for the thermal oxide at 1.8 nm range. It is also demonstrated that the dual voltage TDDW technique is also able to monitor the breakdown mechanism for nitride/oxide (N/O) dual layer dielectrics.}, number={12}, journal={MICROELECTRONICS RELIABILITY}, author={Wu, YD and Xiang, Q and Yang, JYM and Lucovsky, G and Lin, MR}, year={2000}, month={Dec}, pages={1987–1995} } @article{keister_rowe_kolodziej_niimi_madey_lucovsky_1999, title={Band offsets for ultrathin SiO2 and Si3N4 films on Si(111) and Si(100) from photoemission spectroscopy}, volume={17}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Keister, J. W. and Rowe, J. E. and Kolodziej, J. J. and Niimi, H. and Madey, T. E. and Lucovsky, G.}, year={1999}, pages={1831–1835} } @article{lucovsky_wu_niimi_misra_phillips_1999, title={Bonding constraint-induced defect formation at Si-dielectric interfaces and internal interfaces in dual-layer gate dielectrics}, volume={17}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Wu, Y. and Niimi, H. and Misra, V. and Phillips, J. C.}, year={1999}, pages={1806–1812} } @article{lucovsky_wu_niimi_misra_phillips_1999, title={Bonding constraints and defect formation at interfaces between crystalline silicon and advanced single layer and composite gate dielectrics}, volume={74}, ISSN={["1077-3118"]}, DOI={10.1063/1.123728}, abstractNote={An increasingly important issue in semiconductor device physics is understanding of how departures from ideal bonding at silicon–dielectric interfaces generate electrically active defects that limit performance and reliability. Building on previously established criteria for formation of low defect density glasses, constraint theory is extended to crystalline silicon–dielectric interfaces that go beyond Si–SiO2 through development of a model that quantifies average bonding coordination at these interfaces. This extension is validated by application to interfaces between Si and stacked silicon oxide/nitride dielectrics demonstrating that as in bulk glasses and thin films, an average coordination, Nav, greater than three yields increasing defective interfaces.}, number={14}, journal={APPLIED PHYSICS LETTERS}, author={Lucovsky, G and Wu, Y and Niimi, H and Misra, V and Phillips, JC}, year={1999}, month={Apr}, pages={2005–2007} } @article{young_bandhu_schafer_niimi_lucovsky_1999, title={Cathodoluminescence spectroscopy of nitrided SiO2-Si interfaces}, volume={17}, ISSN={["0734-2101"]}, DOI={10.1116/1.581806}, abstractNote={We use cathodoluminescence spectroscopy (CLS) to investigate the electronic states of ultrathin gate dielectrics with nitrided SiO2–Si interfaces, known to improve reliability in advanced complementary metal–oxide–semiconductor devices. The 5 nm thick films investigated were: (i) as-deposited (at 300 °C) structures, (ii) 400 °C hydrogen anneal, (iii) 900 °C rapid thermal anneal (RTA), and (iv) a combination of both anneals. CLS emission energies and intensities versus excitation energy were essentially unchanged for the as-deposited interface compared to non-nitrided plasma-processed interfaces. In the near-infrared, features appear at 0.8 and 1.0 eV, with the 1.0 eV peak Si substrate intensity increasing with increasing depth. From depth variation measurements at higher photon energy, a 3.4 eV peak is also shown to arise from the Si substrate, and a 2.7 eV feature is shown to come from the interface region. After hydrogenation, the CLS is essentially the same as for non-nitrided interfaces, except for an increase in the relative intensity of a broad background luminescence ranging from 1.5 to 2.5 eV. However, the RTA and the combination of the RTA and hydrogenation do not completely suppress emission near 2.0 eV feature as for non-nitrided interfaces. From the behavior of the CLS features, we are able to clearly distinguish between interfacial defects and substrate features, which are significantly reduced by the combined RTA/hydrogen anneal, and features that are not reduced by the annealing procedures.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Young, AP and Bandhu, R and Schafer, J and Niimi, H and Lucovsky, G}, year={1999}, pages={1258–1262} } @article{therrien_niimi_gehrke_lucovsky_davis_1999, title={Charge redistribution at GaN-Ga2O3 interfaces: A microscopic mechanism for low defect density interfaces in remote plasma processed MOS devices prepared on polar GaN faces}, volume={48}, ISSN={["0167-9317"]}, DOI={10.1016/s0167-9317(99)00394-9}, abstractNote={Abstract Interfacial defect densities are typically two orders of magnitude higher at [III–V]-dielectric interfaces than at SiSiO 2 interfaces. This paper demonstrates GaN devices with significantly reduced interfacial defect densities using a two step remote plasma process to form the GaN-dielectric interface and then deposit the dielectric film. Separate plasma oxidation and deposition steps have previously been used for fabrication of aggressively scaled Si devices. Essentially the same 300°C remote plasma processing has been applied to GaN metal-oxide semiconductor (MOS) capacitors and field effect transistors (FETs). This paper i) discusses the low temperature plasma process for GaN device fabrication, ii) briefly reviews GaN device performance, and then iii) presents a chemical bonding model that provides a basis for the improved interface electrical properties.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Therrien, R and Niimi, H and Gehrke, T and Lucovsky, G and Davis, R}, year={1999}, month={Sep}, pages={303–306} } @article{therrien_lucovsky_davis_1999, title={Charge redistribution at GaN-Ga2O3 interfaces: A microscopic mechanism for low defect density interfaces in remote plasma processed MOS devices prepared on polar GaN faces}, volume={176}, ISSN={["0031-8965"]}, DOI={10.1002/(sici)1521-396x(199911)176:1<793::aid-pssa793>3.0.co;2-v}, abstractNote={Interfacial defect densities, typically two orders of magnitude lower than those usually obtained at [III–V]-dielectric interfaces, have been demonstrated for GaN capacitors and field effect transistors (FETs). Separate and independently controlled interface formation and film deposition by remote plasma-assisted processing steps performed at 300 °C were employed. The interfacial oxide is Ga2O3, and the deposited gate dielectric is SiO2. Models for the chemical bonding at the GaN–Ga2O3 interface and at the internal dielectric Ga2O3–SiO2 are presented. The most important aspect of the interface formation involves a redistribution of electrons in the surface atom dangling bonds of the GaN polar face that promotes formation of two-electron bonds with the interfacial dielectric.}, number={1}, journal={PHYSICA STATUS SOLIDI A-APPLIED RESEARCH}, author={Therrien, R and Lucovsky, G and Davis, RF}, year={1999}, month={Nov}, pages={793–796} } @article{wu_lucovsky_1999, title={Improvement of gate dielectric reliability for p plus poly MOS devices using remote PECVD top nitride deposition ultra-thin (2.4-6 nm) gate oxides}, volume={39}, ISSN={["0026-2714"]}, DOI={10.1016/S0026-2714(98)00244-3}, abstractNote={Abstract Dual layer dielectrics have been formed by remote PECVD deposition of ultra-thin (0.4–1.2 nm) nitrides onto thin thermal oxides grown on n -type Si(100) substrates. Activation of boron-implanted p + polycrystalline silicon gate electrodes was accomplished by a high temperature anneal, 1–4 min at 1000°C. Boron penetration through the dielectric film to the n -type substrate was investigated by performing a quasi-static C – V analysis and monitoring the flatband voltage shift. Boron penetration was effectively stopped by a 0.8 nm nitride film, and partially stopped by a 0.4 nm nitride film. In addition, the charge to breakdown as monitored by the Q bd value to 50% cumulative failure was highest for the device with the 0.8 nm top nitride, and decreased significantly in the thermal oxide. However there were essentially no differences in the mid-gap interface state densities, D it , between oxide and nitride/oxide gate dielectric structures with Al gate. It is concluded that the 0.8 nm of plasma nitride was sufficient to block boron atom out-diffusion from a heavily implanted p + poly-silicon gate electrode under the conditions of an aggressive implant activation anneal to improve the dielectric reliability.}, number={3}, journal={MICROELECTRONICS RELIABILITY}, author={Wu, Y and Lucovsky, G}, year={1999}, month={Mar}, pages={365–372} } @article{misra_lazar_wang_wu_niimi_lucovsky_wortman_hauser_1999, title={Interfacial properties of ultrathin pure silicon nitride formed by remote plasma enhanced chemical vapor deposition}, volume={17}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Misra, V. and Lazar, H. and Wang, Z. and Wu, Y. and Niimi, H. and Lucovsky, G. and Wortman, J. J. and Hauser, J. R.}, year={1999}, pages={1836–1839} } @article{niimi_lucovsky_1999, title={Monolayer-level controlled incorporation of nitrogen at Si-SiO(2) interfaces using remote plasma processing}, volume={17}, ISSN={["0734-2101"]}, DOI={10.1116/1.582041}, abstractNote={We demonstrate three different ways to incorporate nitrogen at Si–SiO2 interfaces: (i) an O2/He plasma oxidation of the Si surface followed by an N2/He plasma nitridation, (ii) an N2/He plasma nitridation of the Si surface, and (iii) a Si3N4 film deposition on to the Si surface. The two-step interface formation, the O2/He plasma oxidation followed by the N2/He plasma nitridation, is shown to yield significantly better interface device properties than the other two approaches. These differences in interface properties are explained by an application of constraint theory based on comparisons of the average bonding coordination of the dielectric layer at the interface with the Si substrate.}, number={6}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Niimi, H and Lucovsky, G}, year={1999}, pages={3185–3196} } @article{niimi_lucovsky_1999, title={Monolayer-level controlled incorporation of nitrogen in ultrathin gate dielectrics using remote plasma processing: Formation of stacked 'N-O-N' gate dielectrics}, volume={17}, number={6}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Niimi, H. and Lucovsky, G.}, year={1999}, pages={2610–2621} } @article{lucovsky_1999, title={Reaction/annealing pathways for forming ultrathin silicon nitride films for composite oxide-nitride gate dielectrics with nitrided crystalline silicon-dielectric interfaces for application in advanced complementary metal-oxide-semiconductor devices}, volume={17}, ISSN={["1520-8559"]}, DOI={10.1116/1.581818}, abstractNote={Aggressive scaling of complementary metal–oxide–semiconductor (CMOS) devices requires gate dielectrics with an oxide equivalent thickness, tox,eq∼1 nm or less by the product introduction year 2012. Direct tunneling presents a significant performance limitation in field-effect transistors (FETs) with homogeneous oxide gate dielectrics <1.7 nm. Boron diffusion from p+ poly-Si gate electrodes in p-channel FETs leads to additional electrical problems for oxide thicknesses <3 nm. Interfacial nitridation improves reliability in n-channel FETs; however, by itself, it is not effective in p-type metal–oxide–semiconductor FETs due to boron pileup at the Si–dielectric interface. Proposed solutions include top-oxide surface nitridation and the integration of composite oxide–nitride dielectrics into CMOS devices. This review discusses the integration of hydrogenated silicon nitride films, prepared by remote plasma-enhanced chemical-vapor deposition, into electrical devices with composite oxide–nitride (ON) gate dielectrics. FET devices with ON dielectrics having the same oxide-equivalent thickness, tox-eq and gate dielectric capacitance as devices with homogeneous oxide gate dielectrics display improved performance and reliability. However, reductions in direct tunneling current due to increased physical thickness are below expectations based on tunneling calculations which assume the tunneling mass of electrons in nitride films is approximately the same as in SiO2. The combination of a lower electron tunneling mass and a reduced conduction-band offset energy (i) places important limitations on the extent to which devices with ON gate dielectrics can meet the aggressive scaling needed in advanced CMOS devices, and (ii) raises important questions that have to be addressed when evaluating alternative high-K dielectrics such as Ta2O5, TiO2, and Al2O3. However, tunneling can be reduced by combining monolayer interface nitridation with ON stacks.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lucovsky, G}, year={1999}, pages={1340–1351} } @article{lucovsky_1999, title={Silicon oxide/silicon nitride dual-layer films: a stacked gate dielectric for the 21st century}, volume={254}, ISSN={["1873-4812"]}, DOI={10.1016/S0022-3093(99)00432-9}, abstractNote={Incorporation of nitrogen atoms into ultra thin (<0.3 nm) gate dielectrics (i) reduces defect generation at the Si–SiO2 interface, (ii) allows use of physically thicker dielectrics when incorporated into oxide–nitride stacked gate dielectrics, and (iii) prevents boron atom transport out of heavily doped p+ polycrystalline silicon gate electrodes when nitrided layers are incorporated at the polycrystalline Si-dielectric interface. I demonstrate that nitrogen atoms can be selectively and independently incorporated into different parts of the gate dielectric structure by low-temperature (∼300°C) remote plasma assisted processing followed by low-thermal budget rapid thermal annealing (RTA) yielding state of the art field effect transistors with oxide equivalent thicknesses less than 2 nm.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Lucovsky, G}, year={1999}, month={Sep}, pages={26–37} } @article{yang_lucovsky_1999, title={Stability of Si-O-F low-K dielectrics: attack by water molecules as function of near-neighbor Si-F bonding arrangements}, volume={254}, ISSN={["0022-3093"]}, DOI={10.1016/S0022-3093(99)00387-7}, abstractNote={Abstract Ab initio configuration interaction calculations have been previously used to account for the relatively large decreases (∼20%) in the static dielectric constant of Si–O–F alloys with for F alloy atom concentrations of ∼10 at.%. This study addresses the stability of these alloy films with respect to attack of Si–F bonds by water molecules. The present calculations show that the reactions of isolated Si–F with water molecules differ from the reactions of nearest-neighbor Si–F groups with water molecules. For example, hydrogen attachment of water to two Si–F groups has a bonding energy of ∼0.5 eV, whereas attachment to an isolated Si–F group has an attachment energy smaller by more than a factor of 6. Combining these calculations for interactions with H 2 O with a statistical model of bonding in the alloy films, an upper limit for chemically stable F-corporation has been determined to be ∼11 at.% F, which corresponds to the static dielectric constant of ∼3.3.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={Yang, H and Lucovsky, G}, year={1999}, month={Sep}, pages={128–133} } @article{keister_rowe_kolodziej_niimi_tao_madey_lucovsky_1999, title={Structure of ultrathin SiO2/Si(111) interfaces studied by photoelectron spectroscopy}, volume={17}, ISSN={["1520-8559"]}, DOI={10.1116/1.581805}, abstractNote={Device-grade ultrathin (9–22 Å) films of silicon dioxide, prepared from crystalline silicon by remote-plasma oxidation, are studied by soft x-ray photoelectron spectroscopy (SXPS). The 2p core-level spectra for silicon show evidence of five distinct states of Si, attributable to the five oxidation states of silicon between Si0 (the Si substrate) and Si4+ (the thin SiO2 film). The relative binding energy shifts for peaks Si1+ through Si4+ (with respect to Si0) are in agreement with earlier work. The relatively weaker signals found for the three intermediate states (I1, I2, and I3) are attributed to silicon atoms at the abrupt interface between the thin SiO2 film and substrate. Estimates of the interface state density from these interface signals agree with the values reported earlier of ∼2 monolayers (ML). The position and intensity of the five peaks are measured as a function of post-growth annealing temperature, crystal orientation, and exposure to He/N2 plasma. We find that annealing produces more abrupt interfaces (by reducing the suboxide interface state density), but never more abrupt than ∼1.5 monolayers. We observe a 15%–20% drop in the interface thickness (in particular the “Si2+” peak intensity) with increasing annealing temperature. Somewhat different behavior is observed with small amounts of nitrogen in the SiO2 film where an apparent increase in interface state density is seen. A quantitative analysis is presented which explores the effects of these sample preparation parameters in terms of relative interface state density and modeling of the SXPS data.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Keister, JW and Rowe, JE and Kolodziej, JJ and Niimi, H and Tao, HS and Madey, TE and Lucovsky, G}, year={1999}, pages={1250–1257} } @article{wu_niimi_yang_lucovsky_fair_1999, title={Suppression of boron transport out of p(+) polycrystalline silicon at polycrystalline silicon dielectric interfaces}, volume={17}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Wu, Y. and Niimi, H. and Yang, H. and Lucovsky, G. and Fair, R. B.}, year={1999}, pages={1813–1822} } @article{lucovsky_phillips_1999, title={The effects of chemical bonding and band offset constraints at Si-dielectric interfaces on the integration of alternative high-K dielectrics into aggressively-scaled CMOS Si devices}, volume={48}, ISSN={["0167-9317"]}, DOI={10.1016/s0167-9317(99)00391-3}, abstractNote={This paper identifies three aspects of the chemical bonding at Si-dielectric interfaces that play crucial roles in the implementation of alternative gate dielectrics for advanced CMOS Si devices: i) the character of the interface bonds, either isovalent with bond and nuclear charge balanced as in SiSiO2, or heterovalent, with an inherent mismatch between bond and nuclear charge, ii) physical bonding constraints related to the average number of bonds/atom, Nav, and iii) reduced conduction band offset energies that are result because of increased ionic bonding and d-state derived conduction bands in transition metal oxides.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G and Phillips, JC}, year={1999}, month={Sep}, pages={291–294} } @article{yang_niimi_wu_lucovsky_keister_rowe_1999, title={The effects of interfacial suboxide transition regions on direct tunneling in oxide and stacked oxide-nitride gate dielectrics}, volume={48}, ISSN={["0167-9317"]}, DOI={10.1016/s0167-9317(99)00395-0}, abstractNote={This paper builds on previous work that has demonstrated the effects of interfacial suboxide transition regions at SiSiO2 interfaces on tunneling oscillations in the Fowler-Nordheim regime. This paper extends thes effects to the direct tunneling regime and focuses on differences in interfacial transition regions between SiSiO2 interfaces with, and without monolayer level interface nitridation. Tunneling currents in devices with the same oxide-equivalent thickness are reduced by monolayer level interfacial nitrogen with respect to devices without interface nitridation for i) substrate and gate injection and ii) in both the direct and Fowler-Nordheim tunneling regimes.}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Yang, H and Niimi, H and Wu, Y and Lucovsky, G and Keister, JW and Rowe, JE}, year={1999}, month={Sep}, pages={307–310} } @article{wolfe_hinds_wang_lucovsky_ward_xu_nemanich_maher_1999, title={Thermochemical stability of silicon-oxygen-carbon alloy thin films: A model system for chemical and structural relaxation at SiC-SiO2 interfaces}, volume={17}, ISSN={["0734-2101"]}, DOI={10.1116/1.581745}, abstractNote={Alloy thin films of hydrogenated silicon–oxygen–carbon (Si,C)Ox x<2, were deposited and analyzed in terms of changes in structure and bonding as a function of rapid thermal annealing between 600 and 1100 °C using a combination of Fourier transform infrared spectroscopy, Raman scattering and high-resolution transmission electron microscopy. Results showed that three structural/chemical transformations took place upon annealing. The initial reaction (600–800 °C) involved the loss of hydrogen bonded to both silicon and carbon. At intermediate temperatures (900–1000 °C) a Si–O–C type bond was observed to form, and subsequently disappear after annealing to 1050 °C. The formation of ordered amorphous-SiC regions, nanocrystalline-Si regions, and stoichiometric, thermally relaxed SiO2 accompanied the disappearance of the Si–O–C bond at the 1050 °C annealing temperature. Using this alloy as a model system, important information is obtained for optimized processing of SiC–SiO2 interfaces for device applications.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Wolfe, DM and Hinds, BJ and Wang, F and Lucovsky, G and Ward, BL and Xu, M and Nemanich, RJ and Maher, DM}, year={1999}, pages={2170–2177} } @article{wu_xiang_bang_lucovsky_lin_1999, title={Time dependent dielectric wearout (TDDW) technique for reliability of ultrathin gate oxides}, volume={20}, ISSN={["0741-3106"]}, DOI={10.1109/55.767092}, abstractNote={The degradation of ultrathin oxides is measured and characterized by the dual voltage time dependent dielectric wearout (TDDW) technique. Compared to the conventional time-dependent dielectric breakdown (TDDB) technique, a distinct breakdown can be determined at the operating voltage I-t curve. A noisy, soft prebreakdown effect occurs for 1.8-2.7 nm ultrathin oxides at earlier stress times. The different stages of wearout of 1.8-2.7 nm oxides are discussed. The wearout of oxide is defined when the gate current reaches a critical current density at the circuit operating voltage. Devices still function after the soft breakdowns occur, but are not functional after the sharp breakdown. However, application of the E model to project the dielectric lifetime shows that this is more than 20 y for thermal oxides in the ultrathin regime down to 1.8 nm.}, number={6}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Wu, YD and Xiang, Q and Bang, D and Lucovsky, G and Lin, MR}, year={1999}, month={Jun}, pages={262–264} } @article{lucovsky_1999, title={Ultrathin nitrided gate dielectrics: Plasma processing, chemical characterization, performance, and reliability}, volume={43}, ISSN={["2151-8556"]}, DOI={10.1147/rd.433.0301}, abstractNote={The incorporation of nitrogen (N) atoms into ultrathin gate dielectrics 1) at monolayer levels at Si-SiO2 interfaces reduces tunneling current and defect generation; 2) in bulk nitrides, as in oxide-nitride-oxide (ONO) or oxide-nitride (ON) composite structures, allows the use of physically thicker films without reduced capacitance compared to single-layer oxides; and 3) in nitrided layers at the polycrystalline Si-dielectric interface or in ON dielectrics reduces boron (B) atom out-diffusion from heavily doped p+ polycrystalline silicon gate electrodes into oxide gate dielectrics. The results presented in this review demonstrate that N atoms can be selectively and independently incorporated into different parts of the gate dielectric by low-temperature remote-plasma-assisted processing. When combined with low-thermal-budget rapid thermal annealing, this yields ultrathin gate dielectrics with performance and reliability which generally exceeds that of single-layer thermally grown oxides. The devices addressed in this paper include n-MOS and p-MOS field-effect transistors (FETs) with oxide-equivalent thicknesses of less than 2 nm.}, number={3}, journal={IBM JOURNAL OF RESEARCH AND DEVELOPMENT}, author={Lucovsky, G}, year={1999}, month={May}, pages={301–326} } @article{yang_lucovsky_1998, title={A unified chemical bonding model for defect generation in a-SiH: Photo-induced defects in photovoltaic devices and current-induced defects in TFTs}, volume={37}, ISSN={["0021-4922"]}, DOI={10.1143/JJAP.37.1082}, abstractNote={ Generation of metastable neutral and charged defects in hydrogenated amorphous silicon (a-Si:H) by reactions involving changes in H-atom bonding arrangements has been studied by ab initio calculations applied to small molecules. A unified defect generation model has been developed in which reactions pathways for photo-induced defect generation in PV devices are initiated by hole-electron pair recombination and/or deep trapping, and in thin film transistor (TFT) devices by electron trapping. Reactions pathways are proposed for intrinsic processes involving only Si and H-atoms, as well as extrinsic processes involving O and NH impurities as well. In photovoltaic (PV) devices, defect generation includes the creation of neutral and charged defects and involves displacive rather than diffusive hydrogen motion, thereby ensuing metastability. The positively charged defects are associated with over-coordinated H-, O- and N-atoms. In TFT devices, defect generation results from electron trapping in anti-bonding orbitals of Si–H groups, and includes the formation of neutral and negatively charged Si-atom dangling bonds. }, number={3B}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS}, author={Yang, H and Lucovsky, G}, year={1998}, month={Mar}, pages={1082–1090} } @article{lucovsky_1998, title={Atomic structure and thermal stability of silicon suboxides in bulk thin films and in transition regions at Si-SiO2 interfaces}, volume={230 (part A)}, number={1998 May}, journal={Journal of Non-crystalline Solids}, author={Lucovsky, G.}, year={1998}, pages={1–14} } @article{young_schafer_jessen_bandhu_brillson_lucovsky_niimi_1998, title={Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si-SiO2 interfaces}, volume={16}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Young, A. P. and Schafer, J. and Jessen, G. H. and Bandhu, R. and Brillson, L. J. and Lucovsky, G. and Niimi, H.}, year={1998}, pages={2177–2181} } @article{koh_niimi_lucovsky_green_1998, title={Controlled nitrogen incorporation at Si-SiO2 interfaces by remote plasma-assisted processing}, volume={37}, ISSN={["0021-4922"]}, DOI={10.1143/JJAP.37.709}, abstractNote={ This paper presents experimental studies in which N-atoms have been incorporated at Si–SiO2 interfaces by forming the interface and oxide film by a 300°C remote plasma assisted nitridation/oxidation process using N2O. Process dynamics have been studied by on-line Auger electron spectroscopy (AES) by interrupted plasma processing. Based on AES studies using N2O, O2 and sequenced N2O and O2 source gases, reaction pathways for i) N-atom incorporation at and/or ii) removal from buried Si–SiO2 interfaces have been identified, and contrasted with reaction pathways for nitridation using conventional furnace processing. }, number={2}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS}, author={Koh, K and Niimi, H and Lucovsky, G and Green, ML}, year={1998}, month={Feb}, pages={709–714} } @article{wang_lupke_di ventra_pantelides_gilligan_tolk_kizilyalli_roy_margaritondo_lucovsky_1998, title={Coupled electron-hole dynamics at the Si/SiO2 interface}, volume={81}, ISSN={["0031-9007"]}, DOI={10.1103/PhysRevLett.81.4224}, abstractNote={We report a new and surprising enhancement of the electric field at the Si/SiO2 interface following the cessation of intense pulsed near-infrared radiation. The phenomenon, measured by optical second-harmonic generation, occurs only for photon energies and oxide film thickness that exceed respective thresholds. We attribute the new effect to multiphoton hole injection into the oxide and to an asymmetry in electron and hole dynamics, in particular to distinctly different trapping and detrapping processes.}, number={19}, journal={PHYSICAL REVIEW LETTERS}, author={Wang, W and Lupke, G and Di Ventra, M and Pantelides, ST and Gilligan, JM and Tolk, NH and Kizilyalli, IC and Roy, PK and Margaritondo, G and Lucovsky, G}, year={1998}, month={Nov}, pages={4224–4227} } @article{schafer_young_brillson_niimi_lucovsky_1998, title={Depth-dependent spectroscopic defect characterization of the interface between plasma-deposited SiO(2) and silicon}, volume={73}, ISSN={["0003-6951"]}, DOI={10.1063/1.122003}, abstractNote={We demonstrate the use of low-energy cathodoluminescence spectroscopy (CLS) to study optical transitions at defect bonding arrangements at Si–SiO2 interfaces prepared by low-temperature plasma deposition. Variable-depth excitation achieved by different electron injection energies provides a clear distinction between luminescence derived from (i) the near-interface region of the oxide film, (ii) the Si–SiO2 interface, and (iii) the underlying crystalline Si substrate. Cathodoluminescence bands at ∼0.8 and 1 eV are assigned to interfacial Si atom dangling bonds with different numbers of back-bonded Si and O atoms. CLS also reveals higher photon energy features: two bands at ∼1.9 and 2.7 eV assigned to suboxide bonding defects in the as-grown oxide films, as well as a substrate-related feature at ∼3.4 eV. The effects of hydrogenation at 400 °C and rapid thermal annealing at 900 °C, and especially the combination of both process steps is shown to dramatically reduce the intensities of the CLS features assigned to interfacial and suboxide bonding defects.}, number={6}, journal={APPLIED PHYSICS LETTERS}, author={Schafer, J and Young, AP and Brillson, LJ and Niimi, H and Lucovsky, G}, year={1998}, month={Aug}, pages={791–793} } @article{lucovsky_niimi_golz_kurz_1998, title={Differences between silicon oxycarbide regions at SiC-SiO(2) prepared by plasma-assisted oxidation and thermal oxidations}, volume={123}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(97)00469-8}, abstractNote={The initial stages of SiCSiO2 interface formation by low temperature (300°C) remote plasma assisted oxidation (RPAO) have been studied by on-line Auger electron spectroscopy (AES) for flat and vicinal 6H SiC(0001) wafers with Si(0001) and C faces (0001), focusing on (i) interfacial bonding and (ii) oxidation rates for thickness up to about 2 nm.}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Niimi, H and Golz, A and Kurz, H}, year={1998}, month={Jan}, pages={435–439} } @article{lucovsky_yang_massoud_1998, title={Heterointerface dipoles: Applications to (a) Si-SiO2, (b) nitrided Si-N-SiO2, and (c) SiC-SiO2 interfaces}, volume={16}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Yang, H. and Massoud, H. Z.}, year={1998}, pages={2191–2198} } @article{lupke_busch_meyer_kurz_brandt_yang_trampert_ploog_lucovsky_1998, title={Interface electronic transition observed by optical second-harmonic spectroscopy in beta-GaN/GaAs(001) heterostructures}, volume={57}, ISSN={["1550-235X"]}, DOI={10.1103/physrevb.57.3722}, abstractNote={Optical second-harmonic spectroscopy was used to probe the interface electronic structure of highly mismatched $\ensuremath{\beta}\ensuremath{-}GaN/GaAs(001)$ heterostructures in the vicinity of the ${E}_{0}$ interband critical point of $\ensuremath{\beta}\ensuremath{-}\mathrm{G}\mathrm{a}\mathrm{N}$. The resonance energy of both bulk and interface two-photon ${E}_{0}$ transitions from layers between 1- and 100-nm thickness are identical, indicating the absence of appreciable amounts of strain and electric fields in this materials system. This finding is in striking contrast to observations made for other materials systems, including ZnSe/GaAs and ${\mathrm{SiO}}_{2}/\mathrm{S}\mathrm{i}$, where large shifts of several 10 meV with respect to the bulk values have been found.}, number={7}, journal={PHYSICAL REVIEW B}, author={Lupke, G and Busch, O and Meyer, C and Kurz, H and Brandt, O and Yang, H and Trampert, A and Ploog, KH and Lucovsky, G}, year={1998}, month={Feb}, pages={3722–3725} } @article{claflin_lucovsky_1998, title={Interface formation and thermal stability of advanced metal gate and ultrathin gate dielectric layers}, volume={16}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Claflin, B. and Lucovsky, G.}, year={1998}, pages={2154–2158} } @article{claflin_binger_lucovsky_1998, title={Interface studies of tungsten nitride and titanium nitride composite metal gate electrodes with thin dielectric layers}, volume={16}, ISSN={["1520-8559"]}, DOI={10.1116/1.581297}, abstractNote={Interface formation between reactively sputtered tungsten nitride (WNx) or titanium nitride (TiNx) metallic films and thermally grown silicon dioxide (SiO2) layers is studied by interrupted growth with on-line Auger electron spectroscopy. For both composite metals, growth proceeds directly without a metal precursor layer. The chemical stability of these WNx/SiO2 and TiNx/SiO2 interfaces is investigated by rapid thermal annealing up to 850 °C. The WNx/SiO2 interface is stable up to 650 °C while TiNx/SiO2 is stable below 850 °C. Metal–oxide–semiconductor capacitors have been fabricated with WNx and TiNx gates and 7.5 nm thick thermal oxide gate dielectrics with interface trap densities, Dit<2×1011 cm−2 eV−1. Capacitance–voltage and current–voltage measurements indicate the Fermi level for TiNx lies near midgap in Si, while for WNx it lies closer to the valence band.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Claflin, B and Binger, M and Lucovsky, G}, year={1998}, pages={1757–1761} } @article{lucovsky_koh_chaflin_hinds_1998, title={Interfacial sub-oxide regions at Si-SiO2 interfaces: minimization by post-oxidation rapid thermal anneal}, volume={123}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(97)00528-X}, abstractNote={Transition regions at SiSiO2 interfaces contain su☐ide bonding arrangements which contribute to interface roughness and may give rise to electronically active defects. Interfacial transition regions with su☐ide bonding are a direct result of thermal and plasma-assisted oxidation at temperatures up to at least 800°C, but sub-oxide bonding is significantly reduced following a 30 s, 900°C RTA. The kinetics of annealing are essentially the same as those for separation of homogeneous sub-oxide thin films (SiOx, x < 2) into silicon nanocrystals and stoichiometric SiO2.}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Koh, K and Chaflin, B and Hinds, B}, year={1998}, month={Jan}, pages={490–495} } @article{hinds_wang_wolfe_hinkle_lucovsky_1998, title={Investigation of postoxidation thermal treatments of Si/SiO2 interface in relationship to the kinetics of amorphous Si suboxide decomposition}, volume={16}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Hinds, B. J. and Wang, F. and Wolfe, D. M. and Hinkle, C. L. and Lucovsky, G.}, year={1998}, pages={2171–2176} } @article{wolfe_wang_habermehl_lucovsky_1998, title={Low-temperature (<450 degrees C), plasma-assisted deposition of poly-Si thin films on SiO2 and glass through interface engineering (vol 15, pg 1035, 1997)}, volume={16}, number={1}, journal={Journal of Vacuum Science & Technology. A, Vacuum, Surfaces, and Films}, author={Wolfe, D. M. and Wang, F. and Habermehl, S. and Lucovsky, G.}, year={1998}, pages={207} } @article{lucovsky_phillips_1998, title={Minimization of dangling bond defects in hydrogenated silicon nitride dielectrics for thin film transistors (TFTs)}, volume={230 (part B)}, number={1998}, journal={Journal of Non-crystalline Solids}, author={Lucovsky, G. and Phillips, J. C.}, year={1998}, pages={1221–1225} } @article{vogel_ahmed_hornung_henson_mclarty_lucovsky_hauser_wortman_1998, title={Modeled tunnel currents for high dielectric constant dielectrics}, volume={45}, ISSN={["0018-9383"]}, DOI={10.1109/16.678572}, abstractNote={The effect of dielectric constant and barrier height on the WKB modeled tunnel currents of MOS capacitors with effective oxide thickness of 2.0 nm is described. We first present the WKB numerical model used to determine the tunneling currents. The results of this model indicate that alternative dielectrics with higher dielectric constants show lower tunneling currents than SiO/sub 2/ at expected operating voltages. The results of SiO/sub 2//alternative dielectric stacks indicate currents which are asymmetric with electric field direction. The tunneling current of these stacks at low biases decreases with decreasing SiO/sub 2/ thickness. Furthermore, as the dielectric constant of an insulator increased, the effect of a thin layer of SiO/sub 2/ on the current characteristics of the dielectric stack increases.}, number={6}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Vogel, EM and Ahmed, KZ and Hornung, B and Henson, WK and McLarty, PK and Lucovsky, G and Hauser, JR and Wortman, JJ}, year={1998}, month={Jun}, pages={1350–1355} } @article{lucovsky_1998, title={Monolayer incorporation of nitrogen at Si-SiO2 interfaces: Interface characterization and electrical properties}, volume={16}, ISSN={["1520-8559"]}, DOI={10.1116/1.581005}, abstractNote={This article presents a low-temperature plasma-assisted approach for the preparation of Si–SiO2 interfaces with monolayer concentrations of bonded nitrogen atoms (N atoms) at that interface. Localization of N atoms at Si–SiO2 interfaces has been established by on-line Auger electron spectroscopy (AES), off-line secondary ion mass spectrometry, and optical second harmonic generation. On-line AES studies have established that excess suboxide bonding in interfacial transition regions occurs during plasma-assisted oxidation using N2O and O2 source gases, and that a postoxidation rapid thermal anneal at 900 °C for 30 s in an inert ambient reduces the concentration of these suboxide bonding groups. Defect generation at plasma nitrided interfaces in field effect transistor devices is reduced compared to similar devices in which Si–SiO2 interfaces are formed by furnace oxidation in O2.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lucovsky, G}, year={1998}, pages={356–364} } @article{lucovsky_niimi_koh_green_1998, title={Monolayer nitrogen atom incorporation at buried Si-SiO2 interfaces: Preparation by remote plasma oxidation/nitridation and characterization by on-line auger electron spectroscopy}, volume={5}, ISSN={["0218-625X"]}, DOI={10.1142/S0218625X98000323}, abstractNote={ This paper presents experimental studies in which N-atoms have been incorporated at Si-SiO 2 interfaces by forming the interface and oxide film by a 300°C remote-plasma-assisted nitridation/oxidation process using N 2 O . Process dynamics have been studied by on-line Auger electron spectroscopy (AES) by interrupted plasma processing. Based on AES studies using N 2 O , O 2 and sequenced N 2 O and O 2 source gases, reaction pathways for (i) N-atom incorporation at and/or (ii) removal from buried Si-SiO 2 interfaces have been identified, and contrasted with reaction pathways for nitridation using conventional furnace processing. }, number={1}, journal={SURFACE REVIEW AND LETTERS}, author={Lucovsky, G and Niimi, H and Koh, K and Green, ML}, year={1998}, month={Feb}, pages={167–173} } @article{lucovsky_niimi_wu_parker_hauser_1998, title={Optimization of nitrided gate dielectrics by plasma-assisted and rapid thermal processing}, volume={16}, ISSN={["0734-2101"]}, DOI={10.1116/1.581291}, abstractNote={This article addresses several aspects of nitrogen atom (N atom) incorporation into ultrathin gate oxides including: (i) monolayer incorporation of N atoms at the Si–SiO2 interfaces to reduce tunneling currents and improve device reliability; and (ii) the incorporation of silicon nitride films into stacked oxide–nitride (ON) gate dielectrics to (a) increase the capacitance in ultrathin dielectrics without decreasing film thickness, and (b) suppress boron atom (B atom) diffusion from p+ polycrystalline Si gate electrodes through the dielectric layer to the Si substrate channel region. The results of this article demonstrate that these N-atom spatial distributions can be accomplished by low thermal budget, single wafer processing which includes (i) low-temperature (300 °C) plasma assisted oxidation, nitridation, and/or deposition to achieve the desired N-atom incorporation, followed by (ii) low thermal budget (30 s at 900 °C) rapid thermal annealing to promote chemical and structural bulk and interface relaxation.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={Lucovsky, G and Niimi, H and Wu, Y and Parker, CR and Hauser, JR}, year={1998}, pages={1721–1729} } @article{koh_niimi_lucovsky_1998, title={Plasma-engineered Si-SiO2 interfaces: monolayer nitrogen atom incorporation by low-temperature remote plasma-assisted oxidation in N2O}, volume={98}, ISSN={["0257-8972"]}, DOI={10.1016/S0257-8972(97)00392-7}, abstractNote={Abstract This paper presents experimental studies in which N-atoms have been incorporated at Si−SiO 2 interfaces by forming the interface and oxide film by a 300 °C remote plasma-assisted nitridation/oxidation process using N 2 O. Process dynamics have been studied by interrupted plasma processing using on-line Auger electron spectroscopy (AES). Based on the on-line AES, and complementary ex situ secondary ion mass spectroscopy and optical second harmonic generation results, monolayer nitrogen atom interface coverage has been confirmed. The factors that contribute to preferential nitrogen atom attachment at the Si−SiO 2 interface have been identified.}, number={1-3}, journal={SURFACE & COATINGS TECHNOLOGY}, author={Koh, K and Niimi, H and Lucovsky, G}, year={1998}, month={Jan}, pages={1524–1528} } @article{lucovsky_yang_1998, title={Reaction pathways for intrinsic and extrinsic defect metastability in light-soaked hydrogenated amorphous silicon - the Staebler-Wronski effect}, volume={230 (part A)}, number={1998 May}, journal={Journal of Non-crystalline Solids}, author={Lucovsky, G. and Yang, H.}, year={1998}, pages={281–286} } @article{yang_lucovsky_1998, title={Stability of Si-O-F low-K dielectrics: Attack by water molecules as function of near-neighbor Si-F bonding arrangements}, volume={16}, ISSN={["0734-2101"]}, DOI={10.1116/1.581181}, abstractNote={Ab initio configuration interaction calculations have been previously used to account for the relatively large decreases in the static dielectric constant of Si-O-F alloys with low alloy concentrations of F atoms, ∼22% for F concentrations of ∼10 at. %. The present study addresses the stability of these alloy films with respect to attack of Si-F bonds by water molecules. The present calculations show that the reaction: H2O+2Si-F→2HF+Si-O-Si is exothermic by about 0.7 eV. Our calculations focus on the reaction energetics and geometries as a function of the distance between the F atoms of the Si-F groups and water molecules. Combining these calculations for interactions with H2O with a statistical model of bonding in the alloy films as presented in our previous article, an upper limit for chemically stable F corporation has been determined to be ∼10–12 at. % F, which corresponds to static dielectric constant of 3.2–3.4.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Yang, H and Lucovsky, G}, year={1998}, pages={1525–1528} } @article{hinds_wang_wolfe_hinkle_lucovsky_1998, title={Study of SiOx decomposition kinetics and formation of Si nanocrystals in an SiOx matrix}, volume={230 (part A)}, number={1998 May}, journal={Journal of Non-crystalline Solids}, author={Hinds, B. J. and Wang, F. and Wolfe, D. M. and Hinkle, C. L. and Lucovsky, G.}, year={1998}, pages={507–512} } @article{yang_niimi_lucovsky_1998, title={Tunneling currents through ultrathin oxide/nitride dual layer gate dielectrics for advanced microelectronic devices}, volume={83}, ISSN={["0021-8979"]}, DOI={10.1063/1.366976}, abstractNote={Direct and Fowler–Nordheim tunneling currents through oxide and dual layer silicon oxide–silicon nitride dielectrics are investigated for substrate and gate injection. The calculations include depletion effects in the heavily doped (n+) polysilicon gate electrodes as well as quantization effects in the less heavily doped n-type substrates. The Wentzel–Kramers–Brillouin (WKB) effective mass approximation has been compared with exact calculations for the tunneling probability, and based on these comparisons it has been found that the WKB approximation is adequate for single layer dielectrics, but is not for the dual layer dielectrics that are the focus of this article. Using exact tunneling transmission calculations, current-voltage (I–V) characteristics for ultrathin single layer oxides with different thicknesses (1.4, 2.0, and 2.3 nm) have been shown to agree well with recently reported experiments. Extensions of this approach demonstrate that direct tunneling currents in oxide/nitride structures with oxide equivalent thickness of 1.5 and 2.0 nm can be significantly lower than through single layer oxides of the same respective thickness.}, number={4}, journal={JOURNAL OF APPLIED PHYSICS}, author={Yang, HY and Niimi, H and Lucovsky, G}, year={1998}, month={Feb}, pages={2327–2337} } @article{wu_lucovsky_1998, title={Ultrathin nitride/oxide (N/O) gate dielectrics for p(+)-polysilicon gated PMOSFET's prepared by a combined remote plasma enhanced CVD thermal oxidation process}, volume={19}, ISSN={["1558-0563"]}, DOI={10.1109/55.720188}, abstractNote={Ultrathin nitride-oxide (N/O/spl sim/1.5/2.6 nm) dual layer gate dielectrics have been incorporated into PMOSFETs with boron-implanted polysilicon gates. Boron penetration is effectively suppressed by the top plasma-deposited nitride layer leading to improved short channel performance as compared to PMOSFETs with oxide dielectrics. In addition, improved interface characteristics and hot carrier degradation immunity are also demonstrated for the devices with the N/O dual layer gate dielectrics.}, number={10}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Wu, YD and Lucovsky, G}, year={1998}, month={Oct}, pages={367–369} } @article{niimi_lucovsky_1998, title={Ultrathin oxide gate dielectrics prepared by low temperature remote plasma-assisted oxidation}, volume={98}, ISSN={["0257-8972"]}, DOI={10.1016/S0257-8972(97)00389-7}, abstractNote={Monolayer N-atom incorporation at Si−SiO2 interfaces in device-quality SiO2 gate oxides has been accomplished by a three-step low-thermal budget process: (i) 300°C remote plasma-assisted oxidation in N2O to form the nitrided Si−SiO2 interface, (ii) 300°C remote plasma-assisted chemical vapor deposition from SiH4 and O2 or N2O to form the oxide layer, and (iii) a 30 s 900°C post-deposition rapid thermal anneal for chemical and structural relaxation. This paper reports on an extension of lowtemperature plasma processing to ultra-thin gate dielectrics (<3 nm) that is based on the first of the three steps identified above: the 300°C remote plasma-assisted oxidation in O2 or N2O. This paper: (i) highlights interrupted processing Auger electron spectroscopy measurements to monitor (a) growth rate and (b) interfacial nitrogen; (ii) discusses the reactions pathways for the plasma-assisted oxide growth process; (iii) contrasts (a) plasma-assisted and (b) furnace and rapid thermal oxidation processes.}, number={1-3}, journal={SURFACE & COATINGS TECHNOLOGY}, author={Niimi, H and Lucovsky, G}, year={1998}, month={Jan}, pages={1529–1533} } @article{parker_lucovsky_hauser_1998, title={Ultrathin oxide-nitride gate dielectric MOSFET's}, volume={19}, ISSN={["0741-3106"]}, DOI={10.1109/55.663529}, abstractNote={The first ultrathin oxide-nitride (O-N) gate dielectrics with oxide equivalent thickness of less than 2 nm have been deposited and characterized in n-MOSFET's. The O-N gates, deposited by remote plasma-enhanced CVD, demonstrate reduced gate leakage when compared with oxides of equivalent thickness while retaining comparable drive currents.}, number={4}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Parker, CG and Lucovsky, G and Hauser, JR}, year={1998}, month={Apr}, pages={106–108} } @article{lucovsky_banerjee_niimi_koh_hinds_meyer_lupke_kurz_1997, title={Elimination of sub-oxide transition regions at Si-SiO2 interfaces by rapid thermal annealing at 900 degrees C}, volume={117}, ISSN={["1873-5584"]}, DOI={10.1016/S0169-4332(97)80079-7}, abstractNote={In many spectroscopic studies, particularly by X-ray photoelectron spectroscopy, XPS, it has been generally assumed that the existence of sub-oxide transition regions at SiSiO2 interfaces were not strongly dependent on synthesis chemistries and processing conditions. This paper presents experimental evidence for a kinetically-limited phase transition at SiSiO2 interfaces at approximately 900°C that can minimize and to a large degree eliminate significant interfacial sub-oxide transition regions. The paper emphasizes a connection between (i) differences in photoluminescence from SiOx bulk films before and after 900°C annealing and (ii) differences in electrical performance of SiSiO2 interfaces and optical second harmonic generation from SiSiO2 interfaces, also before and after 900°C annealing}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Banerjee, A and Niimi, H and Koh, K and Hinds, B and Meyer, C and Lupke, G and Kurz, H}, year={1997}, month={Jun}, pages={202–206} } @article{lucovsky_yang_1997, title={Fluorine atom induced decreases to the contribution of infrared vibrations to the static dielectric constant of Si-O-F alloy films}, volume={15}, ISSN={["0734-2101"]}, DOI={10.1116/1.580717}, abstractNote={Si–O–F alloy films deposited by chemical vapor deposition have static dielectric constants, εs, significantly reduced with respect to those of similarly prepared SiO2, ∼3.2 to 3.4 as compared to 4.0 to 4.2. Infrared absorption spectra provide a basis modeling the molecular structure of these alloys, as well as helping to identify microscopic mechanisms responsible for static dielectric constant reductions. Contributions of electronic and vibrational transitions to εs are discussed in terms of an empirical chemical bonding model. Ab initio calculations are then used to identify inductive effects of Si–F bonds on the properties of Si–O–Si groups that are back-bonded to the Si atom of that Si–F group. The ab initio calculations provide a theoretical framework for understanding why relatively low concentrations of F atoms; ∼10–12 at. %, produce significant decreases in εs, ∼22%, as reported for the Si–O–F alloys.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Lucovsky, G and Yang, H}, year={1997}, pages={836–843} } @article{lucovsky_yang_jing_whitten_1997, title={Hydrogen atom participation in metastable defect formation at Si-SiO2 interfaces}, volume={117}, ISSN={["0169-4332"]}, DOI={10.1016/S0169-4332(97)80077-3}, abstractNote={This paper discusses mechanisms for defect metastability with H atom participation at SiSiO2 interfaces as in field effect transistors. Reaction pathways are associated with differences in defect bonding properties between positively charged (i) Si atoms and (ii) O and N atoms. Defect reaction equations, supported by quantum chemistry calculations, are presented. The metastable defects emphasized here are created by hole trapping followed by H atom attachment.}, number={1997 June}, journal={APPLIED SURFACE SCIENCE}, author={Lucovsky, G and Yang, H and Jing, Z and Whitten, JL}, year={1997}, month={Jun}, pages={192–197} } @article{lucovsky_yang_1997, title={Local atomic bonding in fluorinated silicon oxides: Bond-ionicity-controlled contributions of infrared-active vibrations to the static dielectric constant}, volume={36}, ISSN={["0021-4922"]}, DOI={10.1143/JJAP.36.1368}, abstractNote={ There is considerable interest in insulating films with static dielectric constants lower than that of SiO2. One alloy system that has attracted much recent attention is F-doped SiO2 or Si–O–F. This paper i) reviews the published experimental results for the infrared optical properties of Si–O–F alloys, ii) discusses the bonding arrangements of F atoms in the SiO2 network structure, iii) develops a procedure for characterizing the composition of these materials in a pseudo-binary alloy notation, iv) explains the reductions in the dielectric constant induced by F atoms in terms of bond-ionicity-controlled contributions from electronic and vibrational dipole transitions, and v) addresses briefly the chemical stability of the films. }, number={3B}, journal={JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS}, author={Lucovsky, G and Yang, H}, year={1997}, month={Mar}, pages={1368–1373} } @article{yang_lucovsky_1997, title={Local dipole field contributions to bond-stretching silicon-hydrogen vibrational modes on flat and vicinal Si(111) surfaces}, volume={4}, ISSN={["0218-625X"]}, DOI={10.1142/S0218625X97000985}, abstractNote={ Bond-stretching frequencies for bonded-H (and D) on flat and vicinal Si(111) surfaces have been calculated using a model that includes (i) short range valence forces and (ii) dynamic effects due to dipole–dipole interactions. Dipole–dipole interactions have been calculated exactly for flat surfaces, and for vicinal surfaces off-cut in the [Formula: see text] and [Formula: see text] directions terminated respectively by monohydride, Si–H, and dihydride, Si–H 2, bonding at the step edges. Using short range force constants and effective charges obtained from isolated Si–H and Si–H 2 stretching modes in hydrogenated amorphous Si, a-Si:H, as input parameters, the calculated coupled mode frequencies are in excellent agreement with experiment, i.e. using 5 empirical parameters, 16 frequencies in the range of 1500–2150 cm-1 are fit to better than 0.14%, or ±2–3 cm-1. }, number={5}, journal={SURFACE REVIEW AND LETTERS}, author={Yang, HY and Lucovsky, G}, year={1997}, month={Oct}, pages={891–896} } @inproceedings{hinds_aspenes_lucovsky_1997, title={Low pH chemical etch route for smooth H-terminated Si(100) and study of subsequent chemical stability}, booktitle={Environmental, safety, and health issues in IC production: Symposium held December 4-5, 1996, Boston, Massachusetts, U.S.A. (Materials Research Society Symposium proceedings, no. 447)}, publisher={Pittsburgh, PA: Materials Research Society}, author={Hinds, B. J. and Aspenes, D. E. and Lucovsky, G.}, year={1997}, pages={191–196} } @article{wolfe_wang_lucovsky_1997, title={Low-temperature (<450 degrees C), plasma-assisted deposition of poly-Si thin films on SiO2 and glass through interface engineering}, volume={15}, ISSN={["0734-2101"]}, DOI={10.1116/1.580426}, abstractNote={A low-temperature, two-stage process that employs interface engineering is investigated for deposition of poly-Si thin films on SiO2 and glass. In this two-stage process, film growth is separated into two regimes: (i) interface formation and (ii) bulk film growth. Interface formation (stage 1) was optimized for remote plasma enhanced chemical-vapor deposition (PECVD) of ultra thin (<100 Å) μc-Si films on the oxide. This layer acts as a seed template, providing ordered growth sites for the next stage of film growth. Bulk Si film deposition (stage 2) was then initiated on the seed template using remote PECVD process conditions shown to produce low-temperature (<450 °C), epitaxial-Si films on crystalline silicon substrates, so as to drive a transition to larger grain growth off of the seed crystals. Results showed that the seed layer had a dramatic impact on bulk film crystallinity. Films deposited without a μc-Si seed layer were amorphous, whereas films deposited using a seed layer, in conjunction with the appropriate second stage conditions, were highly oriented (220) poly-Si.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Wolfe, DM and Wang, F and Lucovsky, G}, year={1997}, pages={1035–1040} } @article{lucovsky_banerjee_hinds_claflin_koh_yang_1997, title={Minimization of sub-oxide transition regions at Si-SiO2 interfaces by 900 degrees C rapid thermal annealing}, volume={36}, ISSN={["0167-9317"]}, DOI={10.1016/s0167-9317(97)00049-x}, abstractNote={Abstract Combining previously reported optical second harmonic generation (SHG) data with i) newly-reported X-ray photoelectron spectroscopy (XPS) data and ii) the Auger electron spectroscopy (AES) results presented in this paper demonstrates that interfacial sub-oxide bonding (SiOx, x}, number={1-4}, journal={MICROELECTRONIC ENGINEERING}, author={Lucovsky, G and Banerjee, A and Hinds, B and Claflin, B and Koh, K and Yang, H}, year={1997}, month={Jun}, pages={207–210} } @article{golz_lucovsky_koh_wolfe_niimi_kurz_1997, title={Plasma-assisted formation of low defect density SiC-SiO2 interfaces}, volume={15}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Golz, A. and Lucovsky, G. and Koh, K. and Wolfe, D. and Niimi, H. and Kurz, H.}, year={1997}, pages={1097–1104} } @article{lucovsky_yang_jing_whitten_1997, title={The role of hydrogen atoms in metastable defect formation at Si-SiO2 interfaces and in hydrogenated amorphous Si (a-Si:H)}, volume={159}, number={1997}, journal={Physica Status Solidi. A, Applications and Materials Science}, author={Lucovsky, G. and Yang, H. and Jing, Z. and Whitten, J. L.}, year={1997}, pages={5–10} } @article{niimi_koh_lucovsky_1997, title={Ultra-thin gate dielectrics prepared by low-temperature remote plasma-assisted oxidation}, volume={127}, ISSN={["0168-583X"]}, DOI={10.1016/S0168-583X(96)00958-5}, abstractNote={Abstract This paper discusses the formation of ultra-thin nitrided gate oxides by a low temperature plasma assisted oxidation process using remotely excited N2O as the source gas for both oxygen and nitrogen atoms. Three aspects of this process are addressed: (i) the differences in oxide growth rates for O2 or N2O plasma oxidation processes; (ii) the reaction pathways for the incorporation of nitrogen at the SiSiO2 interface for the N2O plasma process; and (iii) possible nitrogen atom depletion during processing steps that follow the plasma assisted oxidation/nitridation process.}, journal={NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS}, author={Niimi, H and Koh, K and Lucovsky, G}, year={1997}, month={May}, pages={364–368} } @article{masnari_hauser_lucovsky_maher_markunas_ozturk_wortman_1993, title={CENTER FOR ADVANCED ELECTRONIC MATERIALS PROCESSING}, volume={81}, ISSN={["0018-9219"]}, DOI={10.1109/JPROC.1993.752025}, abstractNote={Microelectronics manufacturing technology is rapidly moving toward integrated circuits with submicron minimum feature sizes. This is being driven by the development of devices and circuits with reduced device lateral dimensions, increased density per chip, thinner material layers, increased use of the vertical dimension (three-dimensional circuits), low volume/fast tumaround design (ASIC's), increased use of heterojunctions, mixed material technologies, and quantum-based device structures. These trends require precise control of thin layers processed on wafers and a need for lower temperature processing or a lower overall thermal budget}, number={1}, journal={PROCEEDINGS OF THE IEEE}, author={MASNARI, NA and HAUSER, JR and LUCOVSKY, G and MAHER, DM and MARKUNAS, RJ and OZTURK, MC and WORTMAN, JJ}, year={1993}, month={Jan}, pages={42–59} } @article{esser_h._h._c._g.n._lucovsky_1993, title={Femtosecond spectroscopic study of ultrafast carrier relaxation in hydrogenated amorphous silicon a-Si:H}, volume={73}, journal={Journal of Applied Physics}, author={Esser, A. Heesel and H., Kurz and H., Wang and C., Parsons and G.N. and Lucovsky, G.}, year={1993}, pages={1235} } @misc{pollack_shen_lucovsky_1993, title={Method for determining interface properties of semiconductor materials by photoreflectance}, volume={5,255,070}, number={1993 Oct. 19}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Pollack, F. H. and Shen, H. E. and Lucovsky, G.}, year={1993} } @article{kurz h._heesel h._wang c._g._1993, title={Optical-detection of photoconductivity in hydrogenated amorphous silicon, a-Si:H, in the subpicosecond time-domain}, volume={166}, journal={Journal of Non-crystalline Solids}, author={Kurz H., Esser A. and Heesel H., Lucovsky G. and Wang C. and G., Parsons}, year={1993}, pages={575–578} } @article{esser_h._h._c._g.n._lucovsky_1993, title={Transport processes of optically generated free carriers in amorphous silicon, a-Si:H in the femtosecond time regime}, volume={B}, number={47}, journal={Physical Review}, author={Esser, A. Heesel and H., Kurz and H., Wang and C., Parsons and G.N. and Lucovsky, G.}, year={1993}, pages={3593} } @article{parsons_wang_lucovsky_1990, title={ANNEALING OF INTRINSIC AND PHOTOINDUCED DEFECTS IN HYDROGENATED AMORPHOUS-SILICON}, volume={193}, ISSN={["0040-6090"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1990EQ61000003&KeyUID=WOS:A1990EQ61000003}, DOI={10.1016/0040-6090(90)90209-v}, abstractNote={Electronic defects in hydrogenated amorphous silicon thin films, deposited from the glow discharge decomposition of silane in the temperature range between about 225 °C and 325 °C and having approximately 10–15 at.% hydrogen, undergo a thermally activated relaxation during film deposition. We determine the kinetics of this relaxation process in films with similar hydrogen concentrations deposited by reactive magnetron sputtering at a substrate temperature of about 40 °C, and annealed at temperatures greater than 150 °C. We compare the kinetics of this process with the annealing of photo-induced defects in low defect density (less than 1016 cm−3) films. We also present a quantitative relationship between the relaxation time and the deposition and/or annealing conditions required to produce low defect density material.}, number={1-2}, journal={THIN SOLID FILMS}, author={PARSONS, GN and WANG, C and LUCOVSKY, G}, year={1990}, month={Dec}, pages={577–587} } @article{parsons_wang_lucovsky_1990, title={Post-deposition relaxation of electronic defects in hydrogenated amorphous silicon}, volume={56}, journal={Applied Physics Letters}, author={Parsons, G.N. and Wang, C. and Lucovsky, G.}, year={1990}, pages={1895–1897} } @article{parsons_lucovsky_1990, title={Silicon-hydrogen bond-stretching vibrations in hydrogenated amorphous silicon, nitrogen alloys}, volume={B}, number={41}, journal={Physical Review}, author={Parsons, G.N. and Lucovsky, G.}, year={1990}, pages={1664–1667} } @article{esser_seibert_kurz_parsons_wang_davidson_lucovsky_nemanich_1990, title={Ultrafast recombination and trapping in amorphous silicon}, volume={B}, number={41}, journal={Physical Review}, author={Esser, A. and Seibert, K. and Kurz, H. and Parsons, G.N. and Wang, C. and Davidson, B. and Lucovsky, G. and Nemanich, R.J.}, year={1990}, pages={2879–2884} } @article{parsons_tsu_lucovsky_1989, title={Defects in a-Si:H films produced by remote plasma enhanced CVD}, volume={107}, journal={Journal of Non-crystalline Solids}, author={Parsons, G.N. and Tsu, T.V. and Lucovsky, G.}, year={1989}, pages={295–300} } @article{kim_parsons_lucovsky_1989, title={Dependence of the chemical, electrical and photoelectronic properties of a-Si:H/Si3N4 interfaces on the deposition sequence}, volume={114}, journal={Journal of Non-Cyrstalline Solids}, author={Kim, S.S. and Parsons, G.N. and Lucovsky, G.}, year={1989} } @article{tsu_lucovsky_davidson_1989, title={EFFECTS OF THE NEAREST NEIGHBORS AND THE ALLOY MATRIX ON SIH STRETCHING VIBRATIONS IN THE AMORPHOUS SIOR-H (0-LESS-THAN-R-LESS-THAN-2) ALLOY SYSTEM}, volume={40}, ISSN={["0163-1829"]}, DOI={10.1103/physrevb.40.1795}, abstractNote={Hydrogenated silicon suboxides, ${\mathrm{SiO}}_{\mathrm{r}}$:H, for alloy range (0lrl2) have been deposited by remote plasma-enhanced chemical vapor deposition (remote PECVD) under conditions in which hydrogen is incorporated predominantly in monohydride or SiH bonding configurations. We have investigated both the SiH bond-stretching and bond-bending absorption bands by infrared (ir) absorption spectroscopy as a function of r, the alloy composition. In this paper, we have focused on the bond-stretching absorption bands, and have modeled the shape of the bond-stretching band as a function of the alloy composition. There are four distinct local environments for the SiH group in the sub- oxides; these can be written as HSi-${\mathrm{Si}}_{3\mathrm{\ensuremath{-}}\mathrm{n}}$${\mathrm{O}}_{\mathrm{n}}$, for n=0--3. A sum of Gaussian functions, one for each environment, is used to synthesize the absorption in the SiH stretching band. The peak positions of these Gaussians are calculated by an induction model which includes both local and matrix (or alloy) effects; the amplitude weightings are determined from a random statistical model for the local bonding environments of the Si-O groups in the ${\mathrm{SiO}}_{\mathrm{r}}$ alloy. We find that the frequency shifts caused by changes in the matrix, and associated with different values of r, are comparable to the shifts associated with the different local environments. The combination of these effects serves to diminish the discreteness of subband features in the absorption spectrum.}, number={3}, journal={PHYSICAL REVIEW B}, author={TSU, DV and LUCOVSKY, G and DAVIDSON, BN}, year={1989}, month={Jul}, pages={1795–1805} } @article{tsu_parsons_lucovsky_watkins_1989, title={Mass and optical emission spectroscopic studies of the gas phase during the deposition of SiO2 and a-Si:H by remote plasma enhanced chemical vapor deposition}, volume={A}, number={7}, journal={Journal of Vacuum Science & Technology}, author={Tsu, D.V. and Parsons, G.N. and Lucovsky, G. and Watkins, M.W.}, year={1989}, pages={1115–1123} } @article{parsons_tsu_wang_lucovsky_1989, title={Precursors for the deposition of amorphous silicon hydrogen alloys by remote plasma enhanced CVD}, volume={A}, number={7}, journal={Journal of Vacuum Science & Technology}, author={Parsons, G.N. and Tsu, D.V. and Wang, C. and Lucovsky, G.}, year={1989}, pages={1124–1129} } @article{parsons_wang_lucovsky_1989, title={Reduction of defects by high temperature (180 degrees c-240 degrees c) annealing in room temperature deposited hydrogenated amorphous silicon}, journal={Journal of Non-crystalline Solids}, author={Parsons, G.N. and Wang, C. and Lucovsky, G.}, year={1989}, pages={114} } @article{parsons_tsu_lucovsky_1988, title={Properties of intrinsic and doped a-Si:H deposited by remote plasma enhanced chemical vapor deposition}, volume={A}, number={6}, journal={Journal of Vacuum Science & Technology}, author={Parsons, G.N. and Tsu, D.V. and Lucovsky, G.}, year={1988}, pages={1912–1916} } @article{nemanich_glass_lucovsky_shroder_1988, title={RAMAN-SCATTERING CHARACTERIZATION OF CARBON BONDING IN DIAMOND AND DIAMONDLIKE THIN-FILMS}, volume={6}, ISSN={["1520-8559"]}, DOI={10.1116/1.575297}, abstractNote={The atomic bonding configurations of carbon bonding in diamond and diamondlike thin films are explored using Raman scattering. The general aspects of Raman scattering from composites are presented. Effects are discussed due to crystalline or amorphous structures, large versus microcrystalline domains, and strong optical absorption and transparent regions. The Raman scattering from diamondlike films shows several features which are attributed to microcrystalline graphitelike structures which all originate from the same region in the sample. In contrast, the spectra of diamond films show features attributed to different components of a composite film. Components identified are crystalline diamond, and disordered and microcrystalline graphitic structures. The presence of precursor microcrystalline or amorphous diamond structures is also suggested.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A}, author={NEMANICH, RJ and GLASS, JT and LUCOVSKY, G and SHRODER, RE}, year={1988}, pages={1783–1787} } @article{tsu_parsons_lucovsky_1988, title={Spectroscopic emission studies of O2/He and N2/He plasmas in remote plasma enhanced chemical vapor deposition}, volume={A}, number={6}, journal={Journal of Vacuum Science & Technology}, author={Tsu, D.V. and Parsons, G.N. and Lucovsky, G.}, year={1988}, pages={1849–1854} } @article{lucovsky_manitini_srivastava_irene_1987, title={Low-temperature growth of silicon dioxide films - a study of chemical bonding by ellipsometry and infrared-spectroscopy}, volume={5}, number={2}, journal={Journal of Vacuum Science & Technology. B, Microelectronics Processing and Phenomena}, author={Lucovsky, G. and Manitini, M. J. and Srivastava, J. K. and Irene, E. A.}, year={1987}, pages={530–537} } @article{parsons_tsu_lucovsky_1987, title={OPTICAL AND ELECTRICAL-PROPERTIES OF A-SI-H FILMS GROWN BY REMOTE PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION (RPECVD)}, volume={97-8}, ISSN={["0022-3093"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:A1987L610800146&KeyUID=WOS:A1987L610800146}, DOI={10.1016/0022-3093(87)90329-2}, abstractNote={We have deposited a-Si:H films by RPECVD (substrate temperatures, Ts = 38 to 400°C) and have studied the IR and optical absorbance, and other electrical and optical properties. The RPECVD films differ from glow discharge (GD) and sputtered films most notably in the Ts dependence of the hydrogen bonding environments (SiH, SiH2, etc.), and the photoconductivity. RPECVD films produced with Ts = 235°C have properties comparable to ‘device grade’ GD films.}, journal={JOURNAL OF NON-CRYSTALLINE SOLIDS}, author={PARSONS, GN and TSU, DV and LUCOVSKY, G}, year={1987}, month={Dec}, pages={1375–1378} } @article{parsons_kusano_lucovsky_1987, title={Photoelectronic properties of a-Si:H and a-Ge:H thin films in surface cell structures}, volume={A}, number={5}, journal={Journal of Vacuum Science & Technology}, author={Parsons, G.N. and Kusano, C. and Lucovsky, G.}, year={1987}, pages={1655–1660} } @article{parsons_cook_lucovsky_lin_mantini_1986, title={Deposition of a-Si,Sn:H alloy films by reactive magnetron sputtering from separate Si and Sn targets}, volume={A}, number={4}, journal={Journal of Vacuum Science & Technology}, author={Parsons, G.N. and Cook, J.W., Jr. and Lucovsky, G. and Lin, S.Y. and Mantini, M.J.}, year={1986}, pages={470–474} } @article{pai_chao_takagi_lucovsky_1986, title={INFRARED SPECTROSCOPIC STUDY OF SIOX FILMS PRODUCED BY PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION}, volume={4}, ISSN={["0734-2101"]}, DOI={10.1116/1.573833}, abstractNote={We have studied the local atomic structure of silicon suboxide (SiOx, x<2) thin films using infrared (IR) spectroscopy. The films were prepared by plasma enhanced chemical vapor deposition (PECVD) of silane (SiH4) and nitrous oxide (N2O) mixtures, which were then diluted with He. The IR spectra were found to vary significantly with the degree of He dilution. Films grown with no He showed SiN, NH, and SiH bonding groups in addition to the three characteristic vibrations of the Si–O–Si linkage. The addition of He reduced the strength of the SiN, NH, and SiH absorption bands, and resulted in systematic increases in the frequency of the Si–O–Si asymmetric stretching vibration. The frequency of this Si–O–Si stretching vibration scales linearly with the oxygen concentration from approximately 940 cm−1 in oxygen doped amorphous silicon to 1075 cm−1 in stoichiometric noncrystalline SiO2. A deposition temperature of 350 °C and a He dilution of 50% gave a film composition close to SiO1.9. We propose a model for the deposition process that emphasizes the role of the He dilution.}, number={3}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={PAI, PG and CHAO, SS and TAKAGI, Y and LUCOVSKY, G}, year={1986}, pages={689–694} } @article{pankove_zanzucchi_magee_lucovsky_1985, title={HYDROGEN LOCALIZATION NEAR BORON IN SILICON}, volume={46}, ISSN={["1077-3118"]}, DOI={10.1063/1.95599}, abstractNote={Several models of boron neutralized by atomic hydrogen in silicon were tested by secondary ion mass spectrometry and infrared spectrometry. The hydrogen concentration is comparable to that of boron. Boron neutralization appears as a drop in free-carrier absorption and as an increase in resistivity. A new infrared vibrational mode attributed to 〈111〉 vibrations of H tied to Si appears at 1875 cm−1.}, number={4}, journal={APPLIED PHYSICS LETTERS}, author={PANKOVE, JI and ZANZUCCHI, PJ and MAGEE, CW and LUCOVSKY, G}, year={1985}, pages={421–423} } @article{rudder_parsons_cook_lucovsky_1985, title={Low defect density Si,Ge:H alloy films produced by magnetron sputtering from separate Si and Ge cathodes}, volume={77 & 78}, journal={Journal of Non-crystalline Solids}, author={Rudder, R.A. and Parsons, G.N. and Cook, J.W., Jr. and Lucovsky, G.}, year={1985}, pages={885–889} }