@article{ehrstein_richter_chandler-horowitz_vogel_young_shah_maher_foran_hung_diebold_2006, title={A comparison of thickness values for very thin SiO2 films by using ellipsometric, capacitance-voltage, and HRTEM measurements}, volume={153}, ISSN={["0013-4651"]}, DOI={10.1149/1.2133710}, abstractNote={A comparative study of very thin SiO 2 film thickness values obtained from the three dominant measurement techniques used in the integrated circuit industry, ellipsometry, capacitance-voltage (C-V) measurements, and transmission electron microscopy (TEM) has been completed. This work is directed at evaluating the metrology capabilities that might support the development of thickness reference materials for very thin dielectric films. We used a variety of models to analyze ellipsometry measurements and used three different quantum-mechanical-based algorithms to account for substrate quantized states and depletion effects in the polysilicon electrode to analyze the C-V results. TEM measurements were conducted by both phase contrast high resolution (HRTEM) and atomic number (Z) contrast high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM). We found a range of thickness values with each of the methods, with an overlap of values among the three techniques. HRTEM and STEM values showed less consistency between wafers than did ellipsometry or C-V, and seemed to be influenced more by local variations such as interface nonuniformities. We present sources of variation and estimates of the primary components of uncertainty for the measurements employed and discuss the implications of these results for obtaining consistent and unified film thickness metrology and for possible reference standards.}, number={1}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Ehrstein, J and Richter, C and Chandler-Horowitz, D and Vogel, E and Young, C and Shah, S and Maher, D and Foran, B and Hung, PY and Diebold, A}, year={2006}, pages={F12–F19} } @article{chambers_busch_schulte_gustafsson_garfunkel_wang_maher_klein_parsons_2001, title={Effects of surface pretreatments on interface structure during formation of ultra-thin yttrium silicate dielectric films on silicon}, volume={181}, ISSN={["0169-4332"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000171063300009&KeyUID=WOS:000171063300009}, DOI={10.1016/S0169-4332(01)00373-7}, abstractNote={X-ray photoelectron spectroscopy (XPS) and medium energy ion scattering (MEIS) are used to determine chemical bonding and composition of ultra-thin films of mixed yttrium, silicon, and oxygen, formed by oxidation of metal on clean and pre-treated silicon. XPS and MEIS analyses indicate that oxidation of yttrium on bare silicon results in a fully oxidized film with a significant fraction of Y–O–Si bonding. The mixed Y–O–Si structure results from the relatively rapid reaction between Y and the Si substrate to form yttrium silicide, followed by oxidation. The effect of various silicon pretreatments, including in situ oxidation and nitridation, on bulk and interface film composition are also examined. Transmission electron microscopy (TEM) of 40 Å thick films indicates that the yttrium silicate films are amorphous with uniform contrast throughout the layer. MEIS shows evidence for a graded metal concentration in the dielectric near the silicon interface, with uniform oxygen concentration (consistent with full oxidation) throughout the film. Angle resolved XPS (ARXPS) shows no significant signal related to Si+4, as would be expected from a substantial SiO2 interface layer. Capacitance–voltage analysis demonstrates that a ∼10 Å equivalent oxide thickness can be achieved. The effects of ultra-thin silicon oxide, nitrided-oxide and nitrided silicon interfaces on silicon consumption during the oxidation of yttrium are investigated. When yttrium is deposited on a thin (∼10 Å) SiO2 film and oxidized, a yttrium silicate film is formed with bonding and composition similar to films formed on bare silicon. However, when the interface is a thin nitride, the silicon consumption rate is significantly reduced, and the resulting film composition is closer to Y2O3. The consumption of the silicon substrate by metal is shown to occur during oxidation and during vacuum annealing of yttrium on silicon. The relatively rapid formation of metal–silicon bonds suggests that metal–silicon structures may also be important reactive intermediates in silicon/dielectric interface formation reactions during chemical vapor deposition. In addition to thermodynamic stability, understanding the relative rates of elementary reaction steps in film formation is critical to control composition and structure at the dielectric/Si interface.}, number={1-2}, journal={APPLIED SURFACE SCIENCE}, author={Chambers, JJ and Busch, BW and Schulte, WH and Gustafsson, T and Garfunkel, E and Wang, S and Maher, DM and Klein, TM and Parsons, GN}, year={2001}, month={Sep}, pages={78–93} } @article{ban_ozturk_misra_wortman_venables_maher_1999, title={A low-thermal-budget in situ doped multilayer silicon epitaxy process for MOSFET channel engineering}, volume={146}, ISSN={["0013-4651"]}, DOI={10.1149/1.1391744}, abstractNote={This paper describes an in situ boron‐doped, multilayer epitaxial silicon process that can be used to obtain doping profiles for channels in the deep‐submicron regime. We have extensively studied lightly doped channel structures in which an intrinsic silicon layer is grown on an in situ doped epitaxial silicon film. Low‐thermal‐budget processing is achieved by the ultrahigh‐vacuum rapid thermal chemical vapor deposition technique which combines low‐temperature surface preparation and deposition (≤800°C) while providing high growth rates using disilane . Boron doping is achieved using diborane diluted in hydrogen (500 ppm) as the precursor. Temperature and gas switching are compared in terms of doping transition, interface contamination (carbon and oxygen incorporation), and impurity diffusion upon annealing. Our results reveal that for a contamination‐free epitaxial silicon interface, interfacial carbon contamination must be eliminated or reduced to a minimum level. Using this process, short‐channel n‐channel metal‐oxide semiconductor devices μm) have been fabricated for the first time demonstrating the potential use of the technique. It was found that lightly doped channel metal‐oxide semiconductor field effect transistors are more easily scalable into the 0.1 μm regime with superior short‐channel characteristics. © 1999 The Electrochemical Society. All rights reserved.}, number={3}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Ban, I and Ozturk, MC and Misra, V and Wortman, JJ and Venables, D and Maher, DM}, year={1999}, month={Mar}, pages={1189–1196} } @article{pa o'neil_ozturk_batchelor_xu_maher_1999, title={Effects of oxygen during selective silicon epitaxial growth using disilane and chlorine}, volume={146}, ISSN={["0013-4651"]}, DOI={10.1149/1.1391938}, abstractNote={Using Si 2 H 6 and Cl 2 in an ultrahigh-vacuum rapid thermal chemical vapor deposition reactor, we have investigated the effects of oxygen (≥5 × 10 -6 Torr) introduced during selective silicon deposition for both chlorinated and nonchlorinated process chemistries. The effects of oxygen have been investigated with regard to oxygen incorporation, selectivity with respect to thermal SiO 2 , growth rate, and epitaxial structure. Initial studies have revealed that during silicon depositions from Si 2 H 6 , the inherent selectivity of Si 2 H 6 to SiO 2 is enhanced upon the addition of oxygen to the process ambient. Furthermore, using a nonchlorinated process chemistry, oxygen adsorbs predominantly at the epitaxy-substrate interface and causes increased surface roughness. We have found, however, that the addition of chlorine can play a significant role in the passivation of the epitaxy-substrate interface with oxygen and improves the resulting film's surface morphology.}, number={6}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={PA O'Neil and Ozturk, MC and Batchelor, AD and Xu, MM and Maher, DM}, year={1999}, month={Jun}, pages={2344–2352} } @article{pa o'neil_ozturk_batchelor_maher_1999, title={Effects of oxygen on selective silicon deposition using disilane}, volume={38}, ISSN={["0167-577X"]}, DOI={10.1016/S0167-577X(98)00200-6}, abstractNote={Using Si2H6 in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor, we have investigated the role of high levels of oxygen (>5×10−6 Torr) introduced during selective silicon deposition. The effects of oxygen have been investigated with regard to oxygen incorporation, selectivity with respect to thermal SiO2, growth rate, and epitaxial quality. The addition of oxygen was found to enhance the inherent process selectivity of Si2H6 to SiO2 while causing no reduction in the silicon growth rate or measurable oxygen incorporation into the growing film for oxygen pressures below 5×10−5 Torr. Contrary to published reports, the silicon film was devoid of the pyramidal defects usually characteristic to highly oxygenated processes. The silicon surface morphology, however, exhibited increased roughness with increasing oxygen partial pressure. The surface roughness is believed to be a result of the high levels of oxygen adsorbed at the initial growth surface.}, number={6}, journal={MATERIALS LETTERS}, author={PA O'Neil and Ozturk, MC and Batchelor, AD and Maher, DM}, year={1999}, month={Mar}, pages={418–422} } @article{klein_niu_epling_li_maher_hobbs_hegde_baumvol_parsons_1999, title={Evidence of aluminum silicate formation during chemical vapor deposition of amorphous Al2O3 thin films on Si(100)}, volume={75}, ISSN={["0003-6951"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000084242700033&KeyUID=WOS:000084242700033}, DOI={10.1063/1.125519}, abstractNote={Using narrow nuclear reaction resonance profiling, aluminum profiles are obtained in ∼3.5 nm Al2O3 films deposited by low temperature (<400 °C) chemical vapor deposition on Si(100). Narrow nuclear resonance and Auger depth profiles show similar Al profiles for thicker (∼18 nm) films. The Al profile obtained on the thin film is consistent with a thin aluminum silicate layer, consisting of Al–O–Si bond units, between the silicon and Al2O3 layer. Transmission electron microscopy shows evidence for a two-layer structure in Si/Al2O3/Al stacks, and x-ray photoelectron spectroscopy shows a peak in the Si 2p region near 102 eV, consistent with Al–O–Si units. The silicate layer is speculated to result from reactions between silicon and hydroxyl groups formed on the surface during oxidation of the adsorbed precursor.}, number={25}, journal={APPLIED PHYSICS LETTERS}, author={Klein, TM and Niu, D and Epling, WS and Li, W and Maher, DM and Hobbs, CC and Hegde, RI and Baumvol, IJR and Parsons, GN}, year={1999}, month={Dec}, pages={4001–4003} } @article{pa o'neil_ozturk_batchelor_venables_xu_maher_1999, title={Growth of selective silicon epitaxy using disilane and chlorine on heavily implanted substrates - I. Role of implanted BF2}, volume={146}, ISSN={["0013-4651"]}, DOI={10.1149/1.1392052}, abstractNote={In this report, we present results on the low thermal budget deposition of selective silicon epitaxy on heavily arsenic implanted substrates using Si 2 H 6 and Cl 2 in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor. The selectivity of silicon to SiO 2 as well as the silicon growth kinetics, epitaxial quality, and dopant incorporation for varying substrate implant dose conditions and varying levels of chlorine during processing were investigated. We demonstrate that an increase in the arsenic implant dose can reduce the silicon growth by means of an inherent incubation time for deposition occurring in a chlorinated ambient. The extent to which the silicon growth suppression occurs, however, can be lessened by specific changes in the system conditions, and therefore, growth reductions due to arsenic can be minimized. In addition to changes in the silicon growth kinetics, arsenic implanted substrates have demonstrated a tendency to degrade the surface morphology and enhance the density of defects within the deposited silicon epitaxial films. Furthermore, by depositing the silicon film immediately following implantation and prior to any high temperature anneal, movement of arsenic into the deposited silicon layers has been observed at growth temperatures as low as 800°C. Therefore, the incorporation of arsenic into the deposited epitaxial films has been found to be controllable such that abrupt profiles or intentional diffuse structures can be achieved by variation of the process sequence and the annealing conditions.}, number={8}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={PA O'Neil and Ozturk, MC and Batchelor, AD and Venables, D and Xu, MM and Maher, DM}, year={1999}, month={Aug}, pages={3070–3078} } @article{pa o'neil_ozturk_batchelor_venables_maher_1999, title={Growth of selective silicon epitaxy using disilane and chlorine on heavily implanted substrates - II. Role of implanted arsenic}, volume={146}, ISSN={["0013-4651"]}, DOI={10.1149/1.1392053}, abstractNote={In this report, we present results on the low thermal budget deposition of selective silicon epitaxy on heavily arsenic implanted substrates using and in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor. The selectivity of silicon to as well as the silicon growth kinetics, epitaxial quality, and dopant incorporation for varying substrate implant dose conditions and varying levels of chlorine during processing were investigated. We demonstrate that an increase in the arsenic implant dose can reduce the silicon growth by means of an inherent incubation time for deposition occurring in a chlorinated ambient. The extent to which the silicon growth suppression occurs, however, can be lessened by specific changes in the system conditions, and therefore, growth reductions due to arsenic can be minimized. In addition to changes in the silicon growth kinetics, arsenic implanted substrates have demonstrated a tendency to degrade the surface morphology and enhance the density of defects within the deposited silicon epitaxial films. Furthermore, by depositing the silicon film immediately following implantation and prior to any high temperature anneal, movement of arsenic into the deposited silicon layers has been observed at growth temperatures as low as 800°C. Therefore, the incorporation of arsenic into the deposited epitaxial films has been found to be controllable such that abrupt profiles or intentional diffuse structures can be achieved by variation of the process sequence and the annealing conditions. © 1999 The Electrochemical Society. All rights reserved.}, number={8}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={PA O'Neil and Ozturk, MC and Batchelor, AD and Venables, D and Maher, DM}, year={1999}, month={Aug}, pages={3079–3086} } @article{pa o'neil_ozturk_batchelor_xu_maher_1999, title={Quality of selective silicon epitaxial films deposited using disilane and chlorine}, volume={146}, ISSN={["0013-4651"]}, DOI={10.1149/1.1391937}, abstractNote={We have previously reported on the selectivity and growth of a silicon epitaxy process using Si 2 H 6 and Cl 2 in an ultrahigh-vacuum rapid thermal chemical vapor deposition reactor. In this report, we have extended the previous work and provide information regarding the structural and electrical quality of thick (3000 A) selective silicon epitaxial layers deposited under a variety of growth conditions. Electrical test structures, including enclosed n-channel metal oxide semiconductor field effect transistors (MOSFETs) and large-area gated diodes, were fabricated within the epitaxial layers. We demonstrate that variations in the chlorine to silicon ratio (Cl/Si) and the process temperature can lead to structural defects and low generation lifetimes. The defects, however, had a benign effect over the MOSFET drive current and channel transconductance. Overall, the results in this study indicate that high levels of chlorine, as well as low growth temperatures, can potentially inhibit the structural and/or electrical quality of selectively deposited silicon films. However, for growth at or above 800°C with Cl/Si ratio of 0.23, excellent selectivity as well as extremely high bulk generation lifetimes can be obtained for films with structural defect densities well below the detection limits used within this study.}, number={6}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={PA O'Neil and Ozturk, MC and Batchelor, AD and Xu, MM and Maher, DM}, year={1999}, month={Jun}, pages={2337–2343} } @article{wolfe_hinds_wang_lucovsky_ward_xu_nemanich_maher_1999, title={Thermochemical stability of silicon-oxygen-carbon alloy thin films: A model system for chemical and structural relaxation at SiC-SiO2 interfaces}, volume={17}, ISSN={["0734-2101"]}, DOI={10.1116/1.581745}, abstractNote={Alloy thin films of hydrogenated silicon–oxygen–carbon (Si,C)Ox x<2, were deposited and analyzed in terms of changes in structure and bonding as a function of rapid thermal annealing between 600 and 1100 °C using a combination of Fourier transform infrared spectroscopy, Raman scattering and high-resolution transmission electron microscopy. Results showed that three structural/chemical transformations took place upon annealing. The initial reaction (600–800 °C) involved the loss of hydrogen bonded to both silicon and carbon. At intermediate temperatures (900–1000 °C) a Si–O–C type bond was observed to form, and subsequently disappear after annealing to 1050 °C. The formation of ordered amorphous-SiC regions, nanocrystalline-Si regions, and stoichiometric, thermally relaxed SiO2 accompanied the disappearance of the Si–O–C bond at the 1050 °C annealing temperature. Using this alloy as a model system, important information is obtained for optimized processing of SiC–SiO2 interfaces for device applications.}, number={4}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS}, author={Wolfe, DM and Hinds, BJ and Wang, F and Lucovsky, G and Ward, BL and Xu, M and Nemanich, RJ and Maher, DM}, year={1999}, pages={2170–2177} } @article{neogi_venables_na_maher_1998, title={Factors affecting two-dimensional dopant profiles obtained by transmission electron microscopy of etched p-n junctions in Si}, volume={16}, ISSN={["1071-1023"]}, DOI={10.1116/1.589832}, abstractNote={Transmission electron microscopy (TEM) was used to characterize image contrast obtained from doping-dependent etching of p-n junctions in silicon. The local variations in crystal thickness give rise to the appearance of thickness fringes which may be interpreted as two-dimensional iso-concentration contours that map the dopant distribution. The samples used for the study consisted of solid source diffusions of boron into substrates of varying resistivities of both n- and p-type. The factors which affect the interpretation of dopant profiles obtained from selective chemical etching of cross section TEM samples is addressed. One-dimensional chemical dopant concentration data were derived from secondary ion mass spectroscopy and one-dimensional carrier concentration data were derived from spreading resistance profiling.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Neogi, SS and Venables, D and Na, ZY and Maher, DM}, year={1998}, pages={471–475} } @article{li_mirabedini_hornung_heinisch_xu_batchelor_maher_wortman_kuehn_1998, title={Structure and properties of rapid thermal chemical vapor deposited polycrystalline silicon-germanium films on SiO2 using Si2H6, GeH4, and B2H6 gases}, volume={83}, ISSN={["0021-8979"]}, DOI={10.1063/1.367404}, abstractNote={Deposition of undoped and in situ boron-doped polycrystalline silicon-germanium (poly-Si1−xGex) films on oxide has been investigated at temperatures below 625 °C and a pressure of 4 Torr in a rapid thermal chemical vapor deposition system. The influences of reactant gases such as Si2H6, SiH4, GeH4, and B2H6 on the nucleation behavior, and structural properties of poly-Si1−xGex films formed on oxide were studied. The experimental results showed that in situ boron-doped or undoped poly-Si1−xGex films can be directly deposited on oxide without an initial Si predeposition layer to provide the necessary nucleation sites on the surface when using Si2H6 as the Si source gas. However, when SiH4 was used as the Si source gas, only in situ boron-doped films can be deposited nonselectively on the oxide without the initial Si predeposition layer, and to deposit undoped poly-Si1−xGex films, Si predeposition is needed, otherwise Si1−xGex islands are formed on the oxide. X-ray diffraction analysis showed that poly-Si1−xGex films deposited using Si2H6, GeH4, and B2H6 gas mixture have three singular peaks corresponding to {311}, {220}, and {111} planes, thus indicating the Si1−xGex alloy is formed. In addition, we found that B2H6 gas has a minor effect on the Ge incorporation into the films but reduces the overall deposition rate.}, number={10}, journal={JOURNAL OF APPLIED PHYSICS}, author={Li, VZQ and Mirabedini, MR and Hornung, BE and Heinisch, HH and Xu, M and Batchelor, D and Maher, DM and Wortman, JJ and Kuehn, RT}, year={1998}, month={May}, pages={5469–5476} } @article{swaminathan_jones_maher_johnson_fraser_1997, title={Effects of Debye-Waller factors and compositional uncertainties on the 200 structure factor refinement in gamma-TiAl}, volume={75}, ISSN={["0950-0839"]}, DOI={10.1080/095008397179516}, abstractNote={The effects of uncertainties in Debye-Waller factors and chemical composition on 200 structure factor refinement in eta-TiAl have been investigated. Energy-filtered convergent-beam electron diffraction has been used to record 200 rocking curves from two different thicknesses of a thin foil taken from a Ti-51.3 1Al alloy (all compositions in atomic per cent). The structure factor has been refined by matching rocking curves determined by experiment to those theoretically calculated using the Bloch wave formalism of the dynamical theory of electron diffraction. The influence of uncertainties in the Debye-Waller factors and sample composition have been assessed: for samples deviating from stoichiometry, the need to use accurate site-specific Debye-Waller factors has been demonstrated. Also, to extract accurate structure factors, it is necessary to make use of homogeneous samples, the compositions of which must be known to better than 0.2%, a rather restrictive limitation.}, number={5}, journal={PHILOSOPHICAL MAGAZINE LETTERS}, author={Swaminathan, S and Jones, IP and Maher, DM and Johnson, AWS and Fraser, HL}, year={1997}, month={May}, pages={261–270} } @article{neogi_venables_ma_maher_taylor_corcoran_1997, title={Mapping two-dimensional arsenic distributions in silicon using dopant-selective chemical etching technique}, volume={82}, ISSN={["0021-8979"]}, DOI={10.1063/1.366449}, abstractNote={Transmission electron microscopy (TEM) image contrast was used to characterize doping-dependent etching of n+/p junctions in silicon. The local variations in crystal thickness give rise to the appearance of thickness fringes which may be interpreted as two-dimensional iso-concentration contours that map the dopant distribution. The etchant solution used for selective chemical etching of TEM samples prepared using wedge technique was modified to reduce the etch rate and maintain high selectivity to the n+ doped region. The two-dimensional dopant profiles were quantified by calibrating against one-dimensional secondary ion mass spectroscopy data and also compared with one-dimensional spreading resistance analysis data.}, number={11}, journal={JOURNAL OF APPLIED PHYSICS}, author={Neogi, SS and Venables, D and Ma, ZY and Maher, DM and Taylor, M and Corcoran, S}, year={1997}, month={Dec}, pages={5811–5815} } @article{oneil_ozturk_violette_batchelor_christensen_maher_1997, title={Optimization of process conditions for selective silicon epitaxy using disilane, hydrogen, and chlorine}, volume={144}, ISSN={["0013-4651"]}, DOI={10.1149/1.1838003}, abstractNote={We have previously reported a process for low temperature selective silicon epitaxy using Si 2 H 6 , H 2 , and Cl 2 in an ultrahigh vacuum rapid thermal chemical vapor deposition reactor. Selective deposition implies that growth occurs on the Si surface but not on any of the surrounding insulator surfaces. Using this method and process chemistry, the level of Cl species required to maintain adequate selectivity has been greatly reduced in comparison to SiH 2 Cl 3 -based, conventional CVD approaches. In this report, we have extended upon the previous work and provide information regarding the selectivity of the silicon deposition process to variations in the growth conditions. We have investigated the selectivity of the process to variations in disilane flow/partial pressure, growth temperature, and system contamination. We demonstrate that increases in either the Si 2 H 6 partial pressure or flow rate, the process temperature, or the source contamination levels can lead to selectivity degradation. In regard to the structural quality of the selective epitaxial layers, we have observed epitaxial defects that have appeared to be a strong function of two basic conditions: the contamination level of the process and the chlorine flow rate or chlorine partial pressure. Overall, the results in this study indicate several process conditions that can inhibit the quality of a selective silicon deposition process developed for single-wafer manufacturing.}, number={9}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={ONeil, PA and Ozturk, MC and Violette, KE and Batchelor, D and Christensen, K and Maher, DM}, year={1997}, month={Sep}, pages={3309–3315} } @article{swaminathan_altynov_jones_zaluzec_maher_fraser_1997, title={Precise and accurate refinements of the 220 structure factor for silicon by the systematic-row CBED method}, volume={69}, ISSN={["0304-3991"]}, DOI={10.1016/S0304-3991(97)00045-4}, abstractNote={The 220 structure factor for silicon has been refined by matching rocking curves extracted from energy filtered convergent beam electron diffraction patterns to those calculated from a Bloch-wave formulation of the dynamical theory of electron diffraction. There is an excellent agreement between the refined results that are obtained from rocking curves recorded from different crystal thicknesses and published results. Purpose-written computer codes have been used to perform the fitting. Three efficient criteria for automatic beam selection have been incorporated in these computer programs to guarantee the convergence of a calculation. The importance of minimization criteria and treatment of anomalous absorption on the convergence of the calculations have been tested. It is demonstrated that the refined structure factors are independent of minimization criteria and that the computationally less intensive perturbation treatment of absorption can be used to refine a structure factor with high precision (less than 0.1%) as well as high relative accuracy.}, number={3}, journal={ULTRAMICROSCOPY}, author={Swaminathan, S and Altynov, S and Jones, IP and Zaluzec, NJ and Maher, DM and Fraser, HL}, year={1997}, month={Oct}, pages={169–183} } @article{li_mirabedini_kuehn_wortman_ozturk_batchelor_christensen_maher_1997, title={Rapid thermal chemical vapor deposition of in situ boron doped polycrystalline silicon germanium films on silicon dioxide for complimentary metal oxide semiconductor applications}, volume={71}, DOI={10.1063/1.120344}, abstractNote={In situ boron-doped polycrystalline Si1−xGex (x>0.4) films have been formed on the thermally grown oxides in a rapid thermal chemical vapor deposition processor using SiH4-GeH4-B2H6-H2 gas system. Our results showed that in situ boron-doped Si1−xGex films can be directly deposited on the oxide surface, in contrast to the rapid thermal deposition of undoped silicon-germanium (Si1−xGex) films on oxides which is a partially selective process and requires a thin silicon film pre-deposition to form a continuous film. For the in situ boron-doped Si1−xGex films, we observed that with the increase of the germane percentage in the gas source, the Ge content and the deposition rate of the film are increased, while its resistivity is decreased down to 0.66 mΩ cm for a Ge content of 73%. Capacitance-voltage characteristics of p-type metal-oxide-semiconductor capacitors with p+-Si1−xGex gates showed negligible polydepletion effect for a 75 Å gate oxide, indicating that a high doping level of boron at the poly-Si1−xGex/oxide interface was achieved.}, number={23}, journal={Applied Physics Letters}, author={Li, V. Z. Q. and Mirabedini, M. R. and Kuehn, R. T. and Wortman, J. J. and Ozturk, M. C. and Batchelor, D. and Christensen, K. and Maher, D. M.}, year={1997}, pages={3388–3390} } @article{masnari_hauser_lucovsky_maher_markunas_ozturk_wortman_1993, title={CENTER FOR ADVANCED ELECTRONIC MATERIALS PROCESSING}, volume={81}, ISSN={["0018-9219"]}, DOI={10.1109/JPROC.1993.752025}, abstractNote={Microelectronics manufacturing technology is rapidly moving toward integrated circuits with submicron minimum feature sizes. This is being driven by the development of devices and circuits with reduced device lateral dimensions, increased density per chip, thinner material layers, increased use of the vertical dimension (three-dimensional circuits), low volume/fast tumaround design (ASIC's), increased use of heterojunctions, mixed material technologies, and quantum-based device structures. These trends require precise control of thin layers processed on wafers and a need for lower temperature processing or a lower overall thermal budget}, number={1}, journal={PROCEEDINGS OF THE IEEE}, author={MASNARI, NA and HAUSER, JR and LUCOVSKY, G and MAHER, DM and MARKUNAS, RJ and OZTURK, MC and WORTMAN, JJ}, year={1993}, month={Jan}, pages={42–59} }