Works (2)

Updated: April 11th, 2023 10:13

2017 conference paper

A case for standard-cell based RAMs in highly-ported superscalar processor structures

Proceedings of the eighteenth international symposium on quality electronic design (isqed), 131–137.

By: S. Ku n, E. Forbes*, R. Chowdhury* & E. Rotenberg*

co-author countries: United States of America 🇺🇸
Source: NC State University Libraries
Added: August 6, 2018

2016 conference paper

AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores

Ieee international symposium on performance analysis of systems and, 214–224.

By: R. Chowdhury n, A. Kannepalli n, S. Ku n & E. Rotenberg n

co-author countries: United States of America 🇺🇸
Source: NC State University Libraries
Added: August 6, 2018

Citation Index includes data from a number of different sources. If you have questions about the sources of data in the Citation Index or need a set of data which is free to re-distribute, please contact us.

Certain data included herein are derived from the Web of Science© and InCites© (2024) of Clarivate Analytics. All rights reserved. You may not copy or re-distribute this material in whole or in part without the prior written consent of Clarivate Analytics.