@article{zhou_dieffenderfer_aleem_lee_misra_2024, title={A Novel Monolithic MEMS Array for E-Nose Applications}, volume={8}, ISSN={["2475-1472"]}, url={https://doi.org/10.1109/LSENS.2024.3355902}, DOI={10.1109/LSENS.2024.3355902}, abstractNote={In this letter, we present a novel monolithic microelectromechanical syste-ms (MEMS) matrix array that can be adapted for electronic nose (E-nose) applications. The proposed MEMS array consists of four heater rows and four sensing electrode columns, arranged in crossbars and resulting in 16 intersections, which sit on top of suspended membranes created by frontside isotropic dry etching. Power supply, fine-tuning, and wireless communication are integrated on a custom printed circuit board. Thin films of metal oxide are deposited on this matrix array via atomic layer deposition. The achieved E-nose is low power, ultrasensitive, fast, scalable, reliable, and repeatable. It responds to different volatile organic compounds (VOCs) through the identification of optimal operating temperature. For nitrogen dioxide, ethanol, and carbon monoxide (CO), optimal temperatures and measured lower detection limits are ∼150 °C, 6.67 ppb, ∼250 °C, 50 ppb, and ∼350 °C, 1 ppm, respectively. Calculated theoretical detection limits are orders of magnitude lower. At optimal conditions, response/recovery time is <1 min. By tuning temperature profiles, this E-nose can inherently separate VOCs in mixtures. The proposed array is a versatile platform, compatible with back end of line complementary metal–oxide–semiconductor (CMOS) technology.}, number={2}, journal={IEEE SENSORS LETTERS}, author={Zhou, Yilu and Dieffenderfer, James and Aleem, Mahaboobbatcha and Lee, Bongmook and Misra, Veena}, year={2024}, month={Feb} } @article{dieffenderfer_brewer_noonan_smith_eichenlaub_haley_jacks_lobaton_neupert_hess_et al._2023, title={A Wearable System for Continuous Monitoring and Assessment of Speech, Gait, and Cognitive Decline for Early Diagnosis of ADRD}, ISSN={["1558-4615"]}, DOI={10.1109/EMBC40787.2023.10339986}, abstractNote={Early detection of cognitive decline is essential to study mild cognitive impairment and Alzheimer’s Disease in order to develop targeted interventions and prevent or stop the progression of dementia. This requires continuous and longitudinal assessment and tracking of the related physiological and behavioral changes during daily life. In this paper, we present a low cost and low power wearable system custom designed to track the trends in speech, gait, and cognitive stress while also considering the important human factor needs such as privacy and compliance. In the form factors of a wristband and waist-patch, this multimodal, multi-sensor system measures inertial signals, sound, heart rate, electrodermal activity and pulse transit time. A total power consumption of 2.6 mW without any duty cycling allows for more than 3 weeks of run time between charges when 1500 mAh batteries are used.Clinical Relevance— Much earlier detection of Alzheimer’s disease and related dementias may be possible by continuous monitoring of physiological and behavioral state using application specific wearable sensors during the activities of daily life.}, journal={2023 45TH ANNUAL INTERNATIONAL CONFERENCE OF THE IEEE ENGINEERING IN MEDICINE & BIOLOGY SOCIETY, EMBC}, author={Dieffenderfer, James and Brewer, Alec and Noonan, Maxwell A. and Smith, Madeline and Eichenlaub, Emily and Haley, Katarina L. and Jacks, Adam and Lobaton, Edgar and Neupert, Shevaun D. and Hess, Thomas M. and et al.}, year={2023} } @article{singh_chi_misra_2023, title={Healthful Connected Living: Vision and Challenges for the Case of Obesity}, volume={27}, ISSN={["1941-0131"]}, url={https://doi.org/10.1109/MIC.2023.3257994}, DOI={10.1109/MIC.2023.3257994}, abstractNote={We envision a new integrated suite of multimodal sensing and artificial intelligence techniques that can incorporate advances in health psychology to produce effective solutions for long-term healthful living. We discuss challenges and opportunities arising in realizing this vision.}, number={3}, journal={IEEE INTERNET COMPUTING}, author={Singh, Munindar P. and Chi, Min and Misra, Veena}, year={2023}, pages={7–14} } @article{zhou_dieffenderfer_sennik_aleem_speight_vasisht_oralkan_lee_misra_2023, title={Performance of A Monolithic E-Nose Array Integrating MEMS and ALD Processing}, ISSN={["1930-0395"]}, DOI={10.1109/SENSORS56945.2023.10325054}, abstractNote={We demonstrate a novel electronic nose (E-nose), which combines microelectromechanical systems (MEMS) and atomic layer deposition (ALD) technologies. MEMS micromachining creates a monolithic microheater array, consisting of independently controlled rows. By changing temperature profiles, a wide range of sensing surfaces are available. Sensor electrodes are arranged in crossbars with microheater rows. SnO2 thin film is deposited on this array as sensing materials by ALD. This E-nose demonstrates excellent fundamental operating characteristics such as speed and repeatability. It is ultra-sensitive against multiple volatile organic compounds (VOCs). It can also intrinsically separate VOC mixtures by tuning its operating modes.}, journal={2023 IEEE SENSORS}, author={Zhou, Yilu and Dieffenderfer, James and Sennik, Erdem and Aleem, Mahaboobbatcha and Speight, Jakob and Vasisht, Shrey and Oralkan, Omer and Lee, Bongmook and Misra, Veena}, year={2023} } @article{zhou_mohaddes_lee_rao_mills_curry_lee_misra_2022, title={A Wearable Electrocardiography Armband Resilient Against Artifacts}, volume={22}, ISSN={["1558-1748"]}, url={https://doi.org/10.1109/JSEN.2022.3197060}, DOI={10.1109/JSEN.2022.3197060}, abstractNote={Electrocardiography (ECG) is an essential technique to assess cardiovascular conditions and monitor physical activities. While the concept is mature, issues surrounding sampling convenience and device adoption as well as maintaining signal quality under artifacts remain a problem. In this article, we present a high-performing wearable ECG armband on the upper left arm. It is equipped with miniaturized hardware, capable of data storage and wireless communication. We evaluate different electrode configurations by conducting ECG measurements both at the static state and under motion and using improved algorithms to quantify data quality and assess the agreement between the proposed new technique and the gold standard. The optimal electrode position is determined by balancing wearable suitability and signal quality. We propose an electronic textile (E-textile) armband with improved design. It offers favorable wearing comfort and a fashionable appearance without sacrificing data quality. Its contact pressure is measured to get a better picture of intimacy and clothing comfort. Our system provides real-time and noise-resilient ECG data without interrupting daily life and can be implemented in use cases that warrant continuous ECG monitoring.}, number={19}, journal={IEEE SENSORS JOURNAL}, author={Zhou, Yilu and Mohaddes, Farzad and Lee, Courtney and Rao, Smriti and Mills, Amanda C. and Curry, Adam C. and Lee, Bongmook and Misra, Veena}, year={2022}, month={Oct}, pages={18970–18977} } @article{ashik_isukapati_zhang_liu_gupta_morgan_misra_sung_fayed_agarwal_et al._2022, title={Bias Temperature Instability on SiC n- and p-MOSFETs for High Temperature CMOS Applications}, ISSN={["1541-7026"]}, DOI={10.1109/IRPS48227.2022.9764565}, abstractNote={The circuit functionalities of Complementary Metal-Oxide-Semiconductor (CMOS) devices on 4H-SiC for digital and analog circuit applications beyond 200°C have been extensively studied, however, the reliability of the devices on SiC needs to be demonstrated due to the traps at/near the dielectric interface. In this report, the reliability of n- and p- Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) has been studied on three different gate oxide conditions – thick thermally grown, ultrathin thermal + thick CVD oxide and ultrathin thermal + thin CVD oxide in terms of their bias temperature instability (BTI) measurement. The MOSFETs were stressed at various constant bias voltages at 150°C and up to 105s. The threshold voltage shift due to positive bias on n-MOSFET is <0.5V after 105s at +25Vwhile p-MOSFET shows a larger shift of -1.9V shift after 105s at -25V and 150°C for ultrathin + thick CVD oxide. The report also establishes improvement in reliability of p-MOSFETs with ultrathin + CVD oxides over thermally grown oxides.}, journal={2022 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS)}, author={Ashik, Emran K. and Isukapati, Sundar B. and Zhang, Hua and Liu, Tianshi and Gupta, Utsav and Morgan, Adam J. and Misra, Veena and Sung, Woongje and Fayed, Ayman and Agarwal, Anant K. and et al.}, year={2022} } @article{latif_dieffenderfer_tanneeru_lee_misra_bozkurt_2021, title={Evaluation of Environmental Enclosures for Effective Ambient Ozone Sensing in Wrist-worn Health and Exposure Trackers}, ISSN={["1930-0395"]}, DOI={10.1109/SENSORS47087.2021.9639530}, abstractNote={The ambient environmental conditions, most notably ozone concentration, play a critical role in exacerbating asthma related symptoms. Wearable devices offer a great potential for asthma care and management by tracking health and environmental status. Wearable devices in the form factor of a wristband using ultra-low power ozone sensors can provide a localized, real-time, and vigilant monitoring of users’ ambient environment. This work presents a preliminary investigation of environmental enclosures for such a custom designed wrist-worn wearable device for asthma. Enclosure design plays an important role in ensuring optimal environmental and gas sensor operation. In this study, we studied openings along the sidewall of the wrist-worn device covered with commercially available expanded polytetrafluoroethylene-based membranes to provide the required air flow while ensuring resistance to water.}, journal={2021 IEEE SENSORS}, author={Latif, Tahmid and Dieffenderfer, James and Tanneeru, Akhilesh and Lee, Bongmook and Misra, Veena and Bozkurt, Alper}, year={2021} } @article{padmanabhan ramesh_sargolzaeiaval_neumann_misra_vashaee_dickey_ozturk_2021, title={Flexible thermoelectric generator with liquid metal interconnects and low thermal conductivity silicone filler}, volume={5}, ISSN={["2397-4621"]}, DOI={10.1038/s41528-021-00101-3}, abstractNote={Abstract}, number={1}, journal={NPJ FLEXIBLE ELECTRONICS}, author={Padmanabhan Ramesh, Viswanath and Sargolzaeiaval, Yasaman and Neumann, Taylor and Misra, Veena and Vashaee, Daryoosh and Dickey, Michael D. and Ozturk, Mehmet C.}, year={2021}, month={Mar} } @article{lee_lim_misra_2021, title={Wearable skin vapor sensing system for continuous monitoring of various health and lifestyles}, ISSN={["1930-0395"]}, DOI={10.1109/SENSORS47087.2021.9639471}, abstractNote={This work demonstrates a battery powered wearable monitoring system to measure the volatile organic compounds (VOCs) emanating from human skin. The portable system consists of gas sensors, Wi-Fi and Bluetooth enabled MCU, temperature and humidity sensors on a 33mm x 30mm PCB board. The developed system includes 5 sensors to detect and quantify the VOCs from skin as well as to measure the ambient VOC level. The results show that the developed system is able to distinguish the total VOCs between intermittent fasting and alcohol intake. This wearable sensing system enables detection of VOCs in real-time as well as monitoring of personalized VOC exposures for various lifestyles.}, journal={2021 IEEE SENSORS}, author={Lee, Bongmook and Lim, Michael and Misra, Veena}, year={2021} } @article{azam_tanneeru_lee_misra_2020, title={Engineering a Unified Dielectric Solution for AlGaN/GaN MOS-HFET Gate and Access Regions}, volume={67}, ISSN={["1557-9646"]}, url={https://doi.org/10.1109/TED.2020.2969394}, DOI={10.1109/TED.2020.2969394}, abstractNote={Typically GaN metal-oxide-semiconductor heterojunction-field-effect transistors (MOS-HFETs) have used two separate dielectrics for the gate and access regions. However, as this article shows, with proper gate-stack engineering, a unified dielectric solution can be achieved for the transistor. HfO2 dielectrics were deposited by atomic layer deposition (ALD). Two types of oxidants were investigated, namely, water (H2O) and ozone (O3). It was found that MOS-HFETs with O3 oxidant yielded lower threshold voltage ( ${V}_{\text {TH}}$ ) shifts, higher maximum drain current ( ${I}_{\text {DS,max}}$ ) of 340 mA/mm, 20% lower ON-resistance ( ${R}_{ {\mathrm {\scriptscriptstyle {ON}}}}$ ), higher peak transconductance at 112.66 mS/mm, lower hysteresis, and lower gate leakage ( ${5.4} \times {10}^{-{6}}$ A/cm2) compared to water oxidant based MOS-HFETs with ${I}_{\text {DS},\text {max}}$ of 240 mA/mm, 81.38 mS/mm peak transconductance, and ${1.7} \times {10}^{-{4}}$ A/cm2 gate leakage. DC/RF dispersion tests showed MOS-HFETs with O3 oxidant had ~200 $\times $ better current collapse recovery. Temperature characterization and reliability test results, such as high-temperature reverse bias (HTRB), are published for the first time on ALD-HfO2/AlGaN/GaN MOS-HFETs using tetrakis(dimethylamino)hafnium (TDMAH) and O3 precursor. Using an ozone oxidant provided more stability (i.e., less variability in ${R}_{ {\mathrm {\scriptscriptstyle {ON}}}}$ and ${V}_{\text {TH}}$ ) as a function of temperature. Finally, when devices were electrically stressed in the OFF-state, the HTRB test showed minimal ${V}_{\text {TH}}$ drift (<0.5 V) in the case of O3 oxidant versus much larger ${V}_{\text {TH}}$ drift (2.5 V) in the case of H2O oxidant.}, number={3}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, publisher={Institute of Electrical and Electronics Engineers (IEEE)}, author={Azam, Faisal and Tanneeru, Akhilesh and Lee, Bongmook and Misra, Veena}, year={2020}, month={Mar}, pages={881–887} } @article{sargolzaeiaval_ramesh_neumann_misra_vashaee_dickey_ozturk_2020, title={Flexible thermoelectric generators for body heat harvesting - Enhanced device performance using high thermal conductivity elastomer encapsulation on liquid metal interconnects}, volume={262}, ISSN={["1872-9118"]}, DOI={10.1016/j.apenergy.2019.114370}, abstractNote={This paper reports flexible thermoelectric generators (TEGs) employing eutectic gallium indium (EGaIn) liquid metal interconnects encased in a novel, high thermal conductivity (HTC) elastomer. These TEGs are part of a broader effort to harvest thermal energy from the body and convert it into electrical energy to power wearable electronics. The flexible TEGs reported in this paper employ the same thermoelectric legs' used in rigid TEGs, thus eliminating the need to develop new materials specifically for flexible TEGs that often sacrifice the so-called figure of merit' for flexibility. Flexible TEGs reported here embed rigid thermoelectric legs' in soft and flexible packaging, using stretchable EGaIn interconnects. The use of liquid metal interconnects provides ultimate stretchability and low electrical resistance between the thermoelectric legs. The liquid metal lines are encased in a new stretchable silicone elastomer doped with both graphene nano-platelets and EGaIn to increase its thermal conductivity. This high thermal conductivity elastomer not only reduces the parasitic thermal resistance of the encapsulation layer but it also serves as a heat spreader, leading to 1.7X improvement in the output power density of TEGs compared to devices fabricated with a conventional elastomer. The device performance is further improved by a thin Cu layer acting as a heat spreader providing an additional 1.3X enhancement in the output power at 1.2 m/s air velocity (typical walking speed). Worn on the wrist, our best devices achieve power levels in excess of 30 μW/cm2 at an air velocity of 1.2 m/s outperforming previously reported flexible TEGs.}, journal={APPLIED ENERGY}, author={Sargolzaeiaval, Yasaman and Ramesh, Viswanath Padmanabhan and Neumann, Taylor V and Misra, Veena and Vashaee, Daryoosh and Dickey, Michael D. and Ozturk, Mehmet C.}, year={2020}, month={Mar} } @inproceedings{tanneeru_akbulut_lee_misra_2019, title={A novel monolithic array of multiple metal oxide sensors for E-Nose applications via selective on-chip annealing of nanolayered ALD stacks}, ISBN={9781728116341}, url={http://dx.doi.org/10.1109/SENSORS43011.2019.8956877}, DOI={10.1109/SENSORS43011.2019.8956877}, abstractNote={We propose a novel approach towards building a low-power multi-material gas sensor array for E-nose applications via selective on-chip annealing of atomic layer deposited (ALD) multilayer metal oxide stacks. Thin heater membranes, arranged into crossbar arrays, can be used for selective on-chip annealing to convert ALD multilayer stacks into a variety of sensing surfaces that can empower E-nose machine learning for detection of variety of gases with desired specificity. This paper demonstrates the feasibility of this novel approach and utilizes pattern recognition algorithms to show a pathway to adapt this sensor platform for integration with CMOS/MEMS technologies.}, booktitle={2019 IEEE SENSORS}, publisher={IEEE}, author={Tanneeru, Akhilesh and Akbulut, Fatma P. and Lee, Bongmook and Misra, Veena}, year={2019}, month={Oct} } @article{yang_lee_misra_2019, title={Effects of LaSiOx Thickness and Forming Gas Anneal Temperature on Threshold Voltage Instability of 4H-SiC MOSFETs With LaSiOx}, volume={66}, ISSN={["1557-9646"]}, url={https://doi.org/10.1109/TED.2018.2875094}, DOI={10.1109/TED.2018.2875094}, abstractNote={We report the effects of lanthanum-rich layer thickness and forming gas anneal (FGA) conditions on mobility and threshold voltage ( ${V} _{{\text {T}}}$ ) instability of high-mobility 4H-SiC MOSFETs using lanthanum silicate (LaSiOx) interface engineering. MOSFETs with LaSiOx after high-temperature FGA show significantly improved ${V} _{\text {T}}$ reliability under positive gate bias. It is found that both the thickness of the initial lanthanum-rich layer and the FGA temperature profoundly influence MOSFET mobility and ${V} _{\text {T}}$ instability under positive bias. There is a tradeoff between mobility and ${V} _{\text {T}}$ shift under positive bias.}, number={1}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Yang, Xiangyu and Lee, Bongmook and Misra, Veena}, year={2019}, month={Jan}, pages={539–545} } @article{misra_bozkurt_calhoun_datta_dickey_kiani_lach_lee_jur_oralkan_et al._2019, title={Optimizing the energy balance to achieve autonomous self-powering for vigilant health and IoT applications}, volume={1407}, ISSN={1742-6588 1742-6596}, url={http://dx.doi.org/10.1088/1742-6596/1407/1/012001}, DOI={10.1088/1742-6596/1407/1/012001}, abstractNote={Abstract}, journal={Journal of Physics: Conference Series}, publisher={IOP Publishing}, author={Misra, Veena and Bozkurt, Alper and Calhoun, Benton H. and Datta, Suman and Dickey, Michael and Kiani, Mehdi and Lach, John and Lee, Bongmook and Jur, Jesse and Oralkan, Omer and et al.}, year={2019}, month={Nov}, pages={012001} } @book{daniele_lobaton_misra"_2019, place={Alexandria, VA}, title={Reconfigurable Sensor Systems Integrated with Artificial Intelligence and Data Harnessing to Enable Personalized Medicine}, url={https://assistcenter.org/wp-content/uploads/2019/09/NSFWorkshop_Report-082119-v2.pdf}, institution={National Science Foundation}, author={Daniele, Michael and Lobaton, Edgar and Misra", Veena}, year={2019} } @inproceedings{tanneeru_lee_misra_2018, title={Building Blocks of a New ALD E-Nose - A First Step: N-Type and P-Type ALD Sensors}, ISBN={9781538647073}, url={http://dx.doi.org/10.1109/icsens.2018.8589752}, DOI={10.1109/icsens.2018.8589752}, abstractNote={We constructed the basic building blocks of a new type of low-power sensor array with an n-type Sn02 sensor and p-type CuO sensor. Both sensors have sensing film deposited by atomic layer deposition with thickness of 6.5 nm for Sn02 and 20 nm for CuO, which are in an order thickness of their respective Debye lengths. Unique response values for ozone and acetone were seen with Sn02 and CuO in the tested concentration ranges while CuO was seen to be more selective to humidity than Sn02. The impact, reason for choosing these building blocks and the mathematical rationale behind choosing relevant data features are discussed.}, booktitle={2018 IEEE SENSORS}, publisher={IEEE}, author={Tanneeru, Akhilesh and Lee, Bongmook and Misra, Veena}, year={2018}, month={Oct} } @article{kang_lawless_lee_misra_2018, title={Effect of High Temperature Forming Gas Annealing on Electrical Properties of 4H-SiC Lateral MOSFETs with Lanthanum Silicate and ALD SiO2 Gate Dielectric}, volume={924}, ISSN={1662-9752}, url={http://dx.doi.org/10.4028/www.scientific.net/msf.924.482}, DOI={10.4028/www.scientific.net/msf.924.482}, abstractNote={We investigated the impact of an initial lanthanum oxide (La2O3) thickness and forming gas annealing (FGA) conditions on the MOSFET performance. The FGA has been shown to dramatically improve the threshold voltage (VT) stability of 4H-SiC MOSFETs. The FGA process leads to low VTshift and high field effect mobility due to reduction of the interface states density as well as traps by passivating the dangling bonds and active traps in the Lanthanum Silicate dielectrics. By optimizing the La2O3interfacial layer thickness and FGA condition, SiC MOSFETs with high threshold voltage and high mobility while maintaining minimal VTshift are realized.}, journal={Materials Science Forum}, publisher={Trans Tech Publications, Ltd.}, author={Kang, Min Seok and Lawless, Kevin and Lee, Bong Mook and Misra, Veena}, year={2018}, month={Jun}, pages={482–485} } @inproceedings{akbulut_lawless_tanneeru_rao_lee_misra_2018, title={Estimation of Beat-to-Beat Interval from Wearable Photoplethysmography Sensor on Different Measurement Sites During Daily Activities}, ISBN={9781538647073}, url={http://dx.doi.org/10.1109/icsens.2018.8589611}, DOI={10.1109/icsens.2018.8589611}, abstractNote={In this study, we present an algorithm to detect beat-to-beat interval from PPG in the presence of motion artifacts. Our approach includes splitting slowly varying DC components, statistical detrending, and Bessel filtering and Fast Fourier Transform with square window to reduce motion artifacts dependent on spectrum analysis. The algorithm segments beat intervals with a spectrogram to find the characteristic points of the waveform such as systolic and diastolic points. Interbeat intervals (IBI) are determined from these characteristic points to calculate heart rate. The PPG IBI algorithm is validated against ECG RR intervals from five different measurement sites during three daily activities. The results show that the most accurate IBI and HR detection from a wearable PPG device during regular user activity is from the upper arm or finger.}, booktitle={2018 IEEE SENSORS}, publisher={IEEE}, author={Akbulut, Fatma Patlar and Lawless, Kevin and Tanneeru, Akhilesh and Rao, Smriti and Lee, Bongmook and Misra, Veena}, year={2018}, month={Oct} } @inproceedings{tanneeru_taylor_lee_misra_2018, title={Highly Sensitive ALD SnO2 Sensors and the Role of its Thickness in Gas Sensing Capabilities}, ISBN={9781538647073}, url={http://dx.doi.org/10.1109/icsens.2018.8589634}, DOI={10.1109/icsens.2018.8589634}, abstractNote={We report superior gas sensing properties of nano-layered atomic layer deposited - tin oxide thin films with room temperature operation and discuss the role of thickness on the sensing response of the films. 25, 50, 100 cycles of tin oxide ALD films have been evaluated for response with ozone gas in the concentration range of 25 ppb-l00 ppb. At 75 ppb of ozone, relevant concentration indicated for an Asthma attack, a remarkable increase in sensitivity by 22 times is seen with the 50 cycles ALD SnO2 sensor over the 100 cycles sensor and an increase of 58 times, with the 25 cycles sensor over the 50 cycles sensor. The operating power per sensor was under 200 µW including the power consumed by an UV LED (385 nm wavelength) used for resetting the sensors' baseline resistances.}, booktitle={2018 IEEE SENSORS}, publisher={IEEE}, author={Tanneeru, Akhilesh and Taylor, Zachary and Lee, Bongmook and Misra, Veena}, year={2018}, month={Oct} } @article{kang_lee_misra_2018, title={Improved Threshold Voltage Instability in 4H-SiC MOSFETs with Atomic Layer Deposited SiO₂}, volume={924}, ISSN={1662-9752}, url={http://dx.doi.org/10.4028/www.scientific.net/msf.924.498}, DOI={10.4028/www.scientific.net/msf.924.498}, abstractNote={This study reports the electrical characteristics and reliability of the atomic layer deposited SiO2 on the 4H-SiC substrate. By controlling the thickness of SiO2 in each ALD cycle, improved device properties like mobility and gate leakage were obtained as compared to the single deposition. Moreover, the optimized process dramatically reduces the threshold voltage shift under positive and negative bias stresses. This improvement can be attributed to the effective removal of unreacted metal-organic precursors, active traps, and broken bonds in the ALD SiO2 dielectrics as well as reduction in interface state density at SiC/SiO2 interface.}, journal={Materials Science Forum}, publisher={Trans Tech Publications, Ltd.}, author={Kang, Min Seok and Lee, Bong Mook and Misra, Veena}, year={2018}, month={Jun}, pages={498–501} } @article{yang_lee_misra_2018, title={Improvement of Threshold Voltage Reliability of 4H-SiC MOSFETs With Lanthanum Silicate by High Temperature Forming Gas Anneal}, volume={39}, ISSN={["1558-0563"]}, DOI={10.1109/led.2017.2785851}, abstractNote={We report the effect of a high-temperature forming gas anneal (FGA) on the electrical characteristics and threshold voltage (VT) instability of high-mobility Si-face (0001) 4H-SiC metal oxide semiconductor field effect transistors (MOSFETs) with lanthanum silicate (LaSiOx). The MOSFET with LaSiOx after 800 °C FGA in 5% H2 and 95% N2 mixture shows significantly reduced VT shift under 3-MV/cm positive bias stressing from 2.78 to 1.65 V, while maintaining high field-effect mobility of 122.7 cm2/Vs and sufficiently positive VT of 2.76 V.}, number={2}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Yang, Xiangyu and Lee, Bongmook and Misra, Veena}, year={2018}, month={Feb}, pages={244–247} } @article{lim_mills_lee_misra_2018, title={Investigation of O-3 Adsorption on Ultra-Thin ALD SnO2 by QCM}, volume={18}, ISSN={["1558-1748"]}, url={https://doi.org/10.1109/JSEN.2018.2815698}, DOI={10.1109/jsen.2018.2815698}, abstractNote={This paper investigates the properties of room temperature adsorption of O3 on ultra-thin ALD SnO2. Adsorption is characterized by gravimetric measurements on QCM and frequency shift is converted to mass calculations. Both Langmuir and Freundlich isotherm parameters are calculated for O3 on Au, as-deposited SnO2, and annealed SnO2. Of the samples, annealed SnO2 shows the greatest mass shift and calculated number of adsorption sites. The surface resistivity is estimated to transduce the adsorbed quantity into conductometric respsonse; $\mathrm {\Delta }{R}_{s}=4.037e5\,\,\mathrm {\Omega /sq}$ for an O3 saturated as-deposited ALD SnO2 surface and $\mathrm {\Delta }{R}_{s}=4.859e3\,\,\mathrm {\Omega /sq}$ for the annealed ALD SnO2.}, number={9}, journal={IEEE SENSORS JOURNAL}, author={Lim, Michael and Mills, Steven and Lee, Bongmook and Misra, Veena}, year={2018}, month={May}, pages={3590–3594} } @article{sarkar_mills_lee_pitts_misra_franzon_2018, title={On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process}, volume={47}, ISSN={["1543-186X"]}, DOI={10.1007/s11664-017-5914-x}, number={2}, journal={JOURNAL OF ELECTRONIC MATERIALS}, author={Sarkar, Biplab and Mills, Steven and Lee, Bongmook and Pitts, W. Shepherd and Misra, Veena and Franzon, Paul D.}, year={2018}, month={Feb}, pages={994–997} } @inproceedings{azam_lee_misra_2017, title={Optimization of ALD high-k gate dielectric to improve AlGaN/GaN MOS-HFET DC characteristics and reliability}, DOI={10.1109/wipda.2017.8170499}, abstractNote={This presents DC electrical characteristics and reliabilities of AlGaN/GaN metal-oxide-semiconductor heterojunction-field-effect transistors (MOS-HFETs) with HfO2 gate dielectric deposited by atomic layer deposition (ALD). Two types of oxidants were investigated, namely, water (H2O) and ozone (O3) for the ALD deposition. The comparison study reveals that GaN MOSHFETs with O3 oxidant results in overall better device performance and reliability than water based oxidant due to improved HfO2/GaN interface quality. For a 20nm ALD HfO2 gate dielectric, MOS-HFET with O3 oxidant has less threshold voltage (VTH) shift with respect to HFET (1.8V), higher transconductance (112.66 mS/mm), less on-resistance, and less gate leakage (5.4×10−6 A/cm2) compared to MOS-HFET with water oxidant where VTH shift with respect to HFET is 9.15V, transconductance is 81.38 mS/mm and gate leakage is 1.7×10−4 A/cm2. Moreover, significant improvement in device reliability (VTH shift is less than 0.5V) is observed with O3 oxidant at high-temperature reverse bias (HTRB).}, booktitle={2017 IEEE 5th Workshop on Wide Bandgap Power Devices and Applications (WIPDA)}, author={Azam, F. and Lee, B. and Misra, Veena}, year={2017}, pages={39–43} } @book{foley_asare_delborne_lach_misra_2017, title={Prototype to Patient Treatment: Dialogue on Safety, Regulation, Privacy, Security, and Acceptability for Wearable Medical Devices — A Workshop Report}, url={https://libraopen.lib.virginia.edu/public_view/pz50gw21p}, DOI={10.18130/V3804XJ4K}, number={11604831160483}, institution={University of Virginia}, author={Foley, Rider and Asare, Philip and Delborne, Jason and Lach, John and Misra, Veena}, year={2017} } @inproceedings{mills_lee_misra_2017, title={Room temperature ozone and humidity response evolution of atomic layer deposited SnO2 sensors}, ISBN={9781509010127}, url={http://dx.doi.org/10.1109/icsens.2017.8234334}, DOI={10.1109/icsens.2017.8234334}, abstractNote={This work investigates the changes in response to ozone and humidity of Atomic Layer Deposited (ALD) tin dioxide (SnO2) sensors operated at room temperature and integrated into a portable platform over several weeks. The impact of humidity is highlighted as it relates to immediate changes in ozone response and in slower changes where the history of exposure influences the surface kinetics while the adsorbed gas species attempt to reach an equilibrium state.}, booktitle={2017 IEEE SENSORS}, publisher={IEEE}, author={Mills, Steven and Lee, Bongmook and Misra, Veena}, year={2017}, month={Oct} } @article{yang_lee_misra_2016, title={Electrical Characteristics of SiO2 Deposited by Atomic Layer Deposition on 4H–SiC After Nitrous Oxide Anneal}, volume={63}, ISSN={0018-9383 1557-9646}, url={http://dx.doi.org/10.1109/TED.2016.2565665}, DOI={10.1109/ted.2016.2565665}, abstractNote={Properties of SiO2 gate dielectric deposited by atomic layer deposition (ALD) on Si-face of 4H silicon carbide (SiC) were systematically studied. The interface state and effective fixed charge densities of ALD SiO2 on n-type 4H–SiC with various post deposition anneal (PDA) conditions were evaluated. It has been found that nitrous oxide (N2O) PDA not only reduces the effective fixed charge density, which includes the fixed oxide charge and charged interface states, at SiC/SiO2 interface but also decreases the gate leakage current. Negative effective fixed charge is observed at SiC/ALD SiO2 interface after N2O PDA. ALD SiO2-based lateral n-channel MOSFETs show high threshold voltage with the promising field-effect mobility and the peak field-effect mobility increases with N2O PDA temperature.}, number={7}, journal={IEEE Transactions on Electron Devices}, publisher={Institute of Electrical and Electronics Engineers (IEEE)}, author={Yang, Xiangyu and Lee, Bongmook and Misra, Veena}, year={2016}, month={Jul}, pages={2826–2830} } @article{dieffenderfer_goodell_mills_mcknight_yao_lin_beppler_bent_lee_misra_et al._2016, title={Low-Power Wearable Systems for Continuous Monitoring of Environment and Health for Chronic Respiratory Disease}, volume={20}, ISSN={2168-2194 2168-2208}, url={http://dx.doi.org/10.1109/JBHI.2016.2573286}, DOI={10.1109/jbhi.2016.2573286}, abstractNote={We present our efforts toward enabling a wearable sensor system that allows for the correlation of individual environmental exposures with physiologic and subsequent adverse health responses. This system will permit a better understanding of the impact of increased ozone levels and other pollutants on chronic asthma conditions. We discuss the inefficiency of existing commercial off-the-shelf components to achieve continuous monitoring and our system-level and nano-enabled efforts toward improving the wearability and power consumption. Our system consists of a wristband, a chest patch, and a handheld spirometer. We describe our preliminary efforts to achieve a submilliwatt system ultimately powered by the energy harvested from thermal radiation and motion of the body with the primary contributions being an ultralow-power ozone sensor, an volatile organic compounds sensor, spirometer, and the integration of these and other sensors in a multimodal sensing platform. The measured environmental parameters include ambient ozone concentration, temperature, and relative humidity. Our array of sensors also assesses heart rate via photoplethysmography and electrocardiography, respiratory rate via photoplethysmography, skin impedance, three-axis acceleration, wheezing via a microphone, and expiratory airflow. The sensors on the wristband, chest patch, and spirometer consume 0.83, 0.96, and 0.01 mW, respectively. The data from each sensor are continually streamed to a peripheral data aggregation device and are subsequently transferred to a dedicated server for cloud storage. Future work includes reducing the power consumption of the system-on-chip including radio to reduce the entirety of each described system in the submilliwatt range.}, number={5}, journal={IEEE Journal of Biomedical and Health Informatics}, publisher={Institute of Electrical and Electronics Engineers (IEEE)}, author={Dieffenderfer, James and Goodell, Henry and Mills, Steven and McKnight, Michael and Yao, Shanshan and Lin, Feiyan and Beppler, Eric and Bent, Brinnae and Lee, Bongmook and Misra, Veena and et al.}, year={2016}, month={Sep}, pages={1251–1264} } @inproceedings{lim_malhotra_mills_muth_lee_misra_2016, title={Metal oxide gas sensing characterization by low frequency noise spectroscopy}, DOI={10.1109/icsens.2016.7808835}, abstractNote={This work demonstrates a new method for selective identification of low ppb concentrations of O3. Atomic layer deposited thin film SnO2 was used as a sensing layer. SnO2 sensitized quartz crystal microbalances (QCM) demonstrate expected mass loading behavior as well as unique frequency domain response towards synthetic air, O3, and NO2 at room temperature. Power spectral densities (PSD) of the response of each gas were calculated and contain peaks at different normalized frequencies. These PSD peaks are found to have significant differences in magnitude for each analyte and provide evidence of selective room temperature adsorption of gases on SnO2.}, booktitle={2016 ieee sensors}, author={Lim, M. and Malhotra, A. and Mills, S. and Muth, J. and Lee, B. and Misra, Veena}, year={2016} } @article{munos_baker_bot_crouthamel_vries_ferguson_hixson_malek_mastrototaro_misra_et al._2016, title={Mobile health: the power of wearables, sensors, and apps to transform clinical trials}, volume={1375}, ISSN={["0077-8923"]}, DOI={10.1111/nyas.13117}, abstractNote={Mobile technology has become a ubiquitous part of everyday life, and the practical utility of mobile devices for improving human health is only now being realized. Wireless medical sensors, or mobile biosensors, are one such technology that is allowing the accumulation of real‐time biometric data that may hold valuable clues for treating even some of the most devastating human diseases. From wearable gadgets to sophisticated implantable medical devices, the information retrieved from mobile technology has the potential to revolutionize how clinical research is conducted and how disease therapies are delivered in the coming years. Encompassing the fields of science and engineering, analytics, health care, business, and government, this report explores the promise that wearable biosensors, along with integrated mobile apps, hold for improving the quality of patient care and clinical outcomes. The discussion focuses on groundbreaking device innovation, data optimization and validation, commercial platform integration, clinical implementation and regulation, and the broad societal implications of using mobile health technologies.}, journal={SPECIAL ISSUE: ANNALS REPORTS, VOL 1375}, author={Munos, Bernard and Baker, Pamela C. and Bot, Brian M. and Crouthamel, Michelle and Vries, Glen and Ferguson, Ian and Hixson, John D. and Malek, Linda A. and Mastrototaro, John J. and Misra, Veena and et al.}, year={2016}, pages={3–18} } @article{ramanan_lee_misra_2016, title={Physical understanding of trends in current collapse with atomic layer deposited dielectrics in AlGaN/GaN MOS heterojunction FETs}, volume={31}, ISSN={["1361-6641"]}, DOI={10.1088/0268-1242/31/3/035016}, abstractNote={Many passivation dielectrics are pursued for suppressing current collapse due to trapping/detrapping of access-region surface traps in AlGaN/GaN based metal oxide semiconductor heterojuction field effect transistors (MOS-HFETs). The suppression of current collapse can potentially be achieved either by reducing the interaction of surface traps with the gate via surface leakage current reduction, or by eliminating surface traps that can interact with the gate. But, the latter is undesirable since a high density of surface donor traps is required to sustain a high 2D electron gas density at the AlGaN/GaN heterointerface and provide a low ON-resistance. This presents a practical trade-off wherein a passivation dielectric with the optimal surface trap characteristics and minimal surface leakage is to be chosen. In this work, we compare MOS-HFETs fabricated with popular ALD gate/passivation dielectrics like SiO2, Al2O3, HfO2 and HfAlO along with an additional thick plasma-enhanced chemical vapor deposition SiO2 passivation. It is found that after annealing in N2 at 700 °C, the stack containing ALD HfAlO provides a combination of low surface leakage and a high density of shallow donor traps. Physics-based TCAD simulations confirm that this combination of properties helps quick de-trapping and minimal current collapse along with a low ON resistance.}, number={3}, journal={SEMICONDUCTOR SCIENCE AND TECHNOLOGY}, author={Ramanan, Narayanan and Lee, Bongmook and Misra, Veena}, year={2016}, month={Mar} } @inproceedings{tanneeru_mills_lim_mahmud_dieffenderfer_bozkurt_nagle_lee_misra_2016, title={Room temperature sensing of VOCS by atomic layer deposition of metal oxide}, DOI={10.1109/icsens.2016.7808786}, abstractNote={This work demonstrates room temperature sensing of volatile organic compound (VOC) — acetone via an ultrathin film metal oxide sensing layer. Atomic layer deposition (ALD) enables a high quality ultrathin film with precise thickness control. The 14nm ultrathin SnO2 thin film was deposited by ALD resulting in VOCs sensing at room temperature. The ultra-low power consumption (less than 50nW) and the room temperature operation of these devices make them compatible with wearable devices for real-time health and environment monitoring.}, booktitle={2016 ieee sensors}, author={TANNEERU, AKHILESH and Mills, S. and Lim, M. and Mahmud, M. M. and Dieffenderfer, J. and Bozkurt, A. and Nagle, T. and Lee, B. and Misra, Veena}, year={2016} } @inproceedings{ji_lee_wang_misra_huang_2015, title={A new AlGaN/GaN power HFET employing partial deep trench drain structure for high voltage application}, DOI={10.1109/wipda.2015.7369277}, abstractNote={A new AlGaN/GaN heterojuction field effect transistor (HFET) employing the partial deep trench drain structure for high voltage application has been proposed and verified successfully to achieve low leakage current and small Rdson. In order to reduce leakage current and on-resistance of HFET devices, we propose a partial deep trench on drain edge adjacent to access region for the first time, which contributes to reducing the surface electric field under the off-state. In addition, trenched area under drain Ohmic metal enhances Ohmic contact on the surface of AlGaN layer which reduces contact resistivity of drain Ohmic contact. The proposed deep trench drain successfully reduces Ohmic contact resistance under the on-state and leakage current under the off-state at the same time.}, booktitle={WiPDA 2015 3rd IEEE Workshop on Wide Bandgap Power Devices and Applications}, author={Ji, I. H. and Lee, B. and Wang, S. Z. and Misra, Veena and Huang, A. Q.}, year={2015}, pages={147–149} } @article{ramanan_lee_misra_2015, title={ALD gate dielectrics for improved threshold voltage stability in AlGaN/GaN MOS-HFETs for power applications}, volume={30}, ISSN={["1361-6641"]}, DOI={10.1088/0268-1242/30/12/125017}, abstractNote={Dielectrics by atomic layer deposition (ALD) are sought after for fabricating AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors (MOS-HFETs) for power applications. The ideal gate dielectric is required to suppress gate leakage and minimize threshold voltage (VT) instability by hosting minimal interface traps. Additionally, with the need for an enhancement mode device, it is preferable if it minimizes VT shift in the negative direction. For the first time, we compare popular ALD dielectrics like SiO2, Al2O3, HfO2 and HfAlO with identical electrical thickness on AlGaN/GaN, thereby ensuring identical electrostatic conditions across different dielectrics. High-k ALD dielectrics (HfAlO, HfO2 and Al2O3) are found to suppress gate leakage but host a high density of interface traps with AlGaN, thereby resulting in significant VT instability. ALD SiO2 gate dielectric, annealed in N2 above 600 °C, is a promising gate dielectric candidate which provides the most stable and least negative shift in VT while also substantially suppressing gate leakage below that of an HFET.}, number={12}, journal={SEMICONDUCTOR SCIENCE AND TECHNOLOGY}, author={Ramanan, Narayanan and Lee, Bongmook and Misra, Veena}, year={2015}, month={Dec} } @article{ramanan_lee_misra_2015, title={Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory}, volume={106}, ISSN={0003-6951 1077-3118}, url={http://dx.doi.org/10.1063/1.4922799}, DOI={10.1063/1.4922799}, abstractNote={Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO2, HfAlO, and Al2O3) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO2 shows the lowest interface trap density (<2 × 1012 cm−2) after annealing above 600 °C in N2 for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.}, number={24}, journal={Applied Physics Letters}, publisher={AIP Publishing}, author={Ramanan, Narayanan and Lee, Bongmook and Misra, Veena}, year={2015}, month={Jun}, pages={243503} } @article{lim_mills_lee_misra_2015, title={Application of AlGaN/GaN Heterostructures for Ultra-Low Power Nitrogen Dioxide Sensing}, volume={4}, ISSN={["2162-8769"]}, DOI={10.1149/2.0101510jss}, abstractNote={Ultra-low power room temperature NO2 sensors are demonstrated using AlGaN/GaN. The chemically stable semiconductor was sensitized to increase the sensitivity to enable ultra-low power, low ppb level detection without additional heaters. Sensors were sensitized by two methods, ultra-thin ALD SnO2 and surface enhancement by ICP-RIE in BCl3 gas. Both sensitization techniques demonstrate room temperature response, while the unsensitized sensors did not respond. At room temperature, surface enhanced sensors show a significant increase in sensitivity compared to SnO2 sensitized sensors. Sensitized sensors have fast response times and ultra-low power consumption to enable wearable monitoring systems with high spatial resolution of NO2. © The Author(s) 2015. Published by ECS. This is an open access article distributed under the terms of the Creative Commons Attribution Non-Commercial No Derivatives 4.0 License (CC BY-NC-ND, http://creativecommons.org/licenses/by-nc-nd/4.0/), which permits non-commercial reuse, distribution, and reproduction in any medium, provided the original work is not changed in any way and is properly cited. For permission for commercial reuse, please email: oa@electrochem.org. [DOI: 10.1149/2.0101510jss] All rights reserved.}, number={10}, journal={ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY}, author={Lim, Michael and Mills, Steven and Lee, Bongmook and Misra, Veena}, year={2015}, pages={S3034–S3037} } @article{mills_lim_lee_misra_2015, title={Atomic Layer Deposition of SnO2 for Selective Room Temperature Low ppb Level O-3 Sensing}, volume={4}, ISSN={["2162-8769"]}, DOI={10.1149/2.0111510jss}, abstractNote={This work demonstrates ultra-low power ozone sensors for real time, continuous, and portable monitoring. Atomic Layer Deposition (ALD) of SnO2 enables precise control of ultrathin film thickness on the order of the Debye length to enhance sensitivity at room temperature. Correlation between ozone concentration and the rate of resistance change is used to maintain fast response times and ultraviolet (UV) illumination hastens recovery. ALD SnO2 ultrathin film sensors realize room temperature operation with highly selective detection of 50 ppb ozone with average power consumption of 150 μW making them well suited for real time, portable environmental monitoring systems. © The Author(s) 2015. Published by ECS. This is an open access article distributed under the terms of the Creative Commons Attribution Non-Commercial No Derivatives 4.0 License (CC BY-NC-ND, http://creativecommons.org/licenses/by-nc-nd/4.0/), which permits non-commercial reuse, distribution, and reproduction in any medium, provided the original work is not changed in any way and is properly cited. For permission for commercial reuse, please email: oa@electrochem.org. [DOI: 10.1149/2.0111510jss] All rights reserved.}, number={10}, journal={ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY}, author={Mills, Steven and Lim, Michael and Lee, Bongmook and Misra, Veena}, year={2015}, pages={S3059–S3061} } @article{ramanan_lee_misra_2015, title={Comparison of Methods for Accurate Characterization of Interface Traps in GaN MOS-HFET Devices}, volume={62}, ISSN={["1557-9646"]}, DOI={10.1109/ted.2014.2382677}, abstractNote={Reliability of dielectrics is a critical concern in GaN metal-oxide-semiconductor-heterojunction-field-effect transistor (MOS-HFET) devices for use in high-voltage power and RF applications. Accurate characterization of interface traps is essential toward developing an understanding of the reliability issues associated with this system and to evaluate the effectiveness of different dielectrics proposed for use in the gate-stack or the passivation of the access regions. Using small-signal equivalent circuit models and TCAD simulations, it is found that conductance and capacitance methods for trap density estimation potentially have severely constrained detection limits and can probe only shallow traps. In contrast, a pulsed-IV method, used along with UV irradiation, can accurately detect a wide range of trap densities over the entire wide bandgap. The effectiveness of this method is also experimentally demonstrated using an AlGaN/GaN MOS-HFET device with HfAlO gate dielectric.}, number={2}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Ramanan, Narayanan and Lee, Bongmook and Misra, Veena}, year={2015}, month={Feb}, pages={546–553} } @article{singamaneni_prater_nori_kumar_lee_misra_narayan_2015, title={Ferroelectric and magnetic properties of multiferroic BiFeO3-La0.7Sr0.3MnO3 heterostructures integrated with Si (100)}, volume={117}, ISSN={0021-8979 1089-7550}, url={http://dx.doi.org/10.1063/1.4913811}, DOI={10.1063/1.4913811}, abstractNote={We report on the electrical, ferroelectric, and magnetic properties of BiFeO3 (BFO)-La0.7Sr0.3MnO3 heterostructures deposited epitaxially onto Si(100) substrates. Temperature dependent (200–350 K) current-voltage (I-V), switching spectroscopy piezo-response force microscopy (SSPFM), and temperature dependent (5–300 K) anisotropic magnetization measurements have been performed. The BFO (100-nm thick)-based device structures were fabricated with a 250 nm thick La0.7Sr0.3MnO3 bottom electrode and 200 μm circular top Pt electrodes. I-V measurements performed at various temperatures indicated that the devices retained their as-deposited characteristics and exhibited non-leaky behavior up to at least 50 cycles. The temperature-dependent measurements showed clear diode-like behavior and resistive (hysteretic) switching behaviour. Characteristic butterfly loops (of several cycles) were observed in the PFM amplitude signals of the BFO film. In addition, the phase signal indicated a clear (180°) switching behavior at the switching voltage of 4–5 V, providing unambiguous evidence for the occurrence of ferroelectricity in BFO films integrated on Si (100). The temperature- and angle-dependent zero field cooled isothermal (5 K) magnetization measurements were consistent with the presence of uniaxial magnetic anisotropy. This work makes an important step for the fabrication of CMOS-compatible BFO devices for memory applications.}, number={17}, journal={Journal of Applied Physics}, publisher={AIP Publishing}, author={Singamaneni, Srinivasa Rao and Prater, J. T. and Nori, S. and Kumar, D. and Lee, Bongmook and Misra, V. and Narayan, J.}, year={2015}, month={May}, pages={17D908} } @article{misra_bozkurt_calhoun_jackson_jur_lach_lee_muth_oralkan_oeztuerk_et al._2015, title={Flexible Technologies for Self-Powered Wearable Health and Environmental Sensing}, volume={103}, ISSN={["1558-2256"]}, DOI={10.1109/jproc.2015.2412493}, abstractNote={This article provides the latest advances from the NSF Advanced Self-powered Systems of Integrated sensors and Technologies (ASSIST) center. The work in the center addresses the key challenges in wearable health and environmental systems by exploring technologies that enable ultra-long battery lifetime, user comfort and wearability, robust medically validated sensor data with value added from multimodal sensing, and access to open architecture data streams. The vison of the ASSIST center is to use nanotechnology to build miniature, self-powered, wearable, and wireless sensing devices that can enable monitoring of personal health and personal environmental exposure and enable correlation of multimodal sensors. These devices can empower patients and doctors to transition from managing illness to managing wellness and create a paradigm shift in improving healthcare outcomes. This article presents the latest advances in high-efficiency nanostructured energy harvesters and storage capacitors, new sensing modalities that consume less power, low power computation, and communication strategies, and novel flexible materials that provide form, function, and comfort. These technologies span a spatial scale ranging from underlying materials at the nanoscale to body worn structures, and the challenge is to integrate them into a unified device designed to revolutionize wearable health applications.}, number={4}, journal={PROCEEDINGS OF THE IEEE}, author={Misra, Veena and Bozkurt, Alper and Calhoun, Benton and Jackson, Thomas N. and Jur, Jesse S. and Lach, John and Lee, Bongmook and Muth, John and Oralkan, Oemer and Oeztuerk, Mehmet and et al.}, year={2015}, month={Apr}, pages={665–681} } @article{yang_lee_misra_2015, title={High Mobility 4H-SiC Lateral MOSFETs Using Lanthanum Silicate and Atomic Layer Deposited SiO2}, volume={36}, ISSN={["1558-0563"]}, DOI={10.1109/led.2015.2399891}, abstractNote={We report high mobility Si-face 4H-SiC MOSFET results via a novel interface engineering technique using a gate-stack consisting of lanthanum silicate (LaSiOx) and atomic layer deposited SiO2. Peak field effect mobility of 132.6 cm2/V · s has been achieved while maintaining a positive threshold voltage (3.1 V). From the peak field effect mobility's dependence on measurement temperatures, it has been found that the mobility of La containing MOSFET is limited by phonon scattering.}, number={4}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Yang, Xiangyu and Lee, Bongmook and Misra, Veena}, year={2015}, month={Apr}, pages={312–314} } @article{yang_lee_misra_2015, title={Investigation of Lanthanum Silicate Conditions on 4H-SiC MOSFET Characteristics}, volume={62}, ISSN={0018-9383 1557-9646}, url={http://dx.doi.org/10.1109/TED.2015.2480047}, DOI={10.1109/ted.2015.2480047}, abstractNote={The lanthanum silicate interface engineering has been shown to dramatically improve the mobility of 4H-silicon carbide (SiC) MOSFETs. We studied the impact of post deposition annealing (PDA) conditions and the initial lanthanum oxide (La2O3) thickness on the MOSFET performance. The combination of 900 °C PDA and 1 nm La2O3 leads to highest field-effect mobility. Higher PDA temperature leads to mobility reduction due to lower lanthanum concentration at the SiC/dielectric interface. The peak mobility and threshold voltage show strong dependence on the initial La2O3 thickness.}, number={11}, journal={IEEE Transactions on Electron Devices}, publisher={Institute of Electrical and Electronics Engineers (IEEE)}, author={Yang, Xiangyu and Lee, Bongmook and Misra, Veena}, year={2015}, month={Nov}, pages={3781–3785} } @inproceedings{misra_lach_bozkurt_calhoun_datta_oralkan_2015, title={Self-powered wearable sensor platforms for wellness}, booktitle={2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES)}, author={Misra, V. and Lach, J. and Bozkurt, A. and Calhoun, B. and Datta, S. and Oralkan, O.}, year={2015}, pages={187–187} } @inproceedings{misra_lee_manickam_lim_pasha_mills_bhansali_2015, title={Ultra-low power sensing platform for personal health and personal environmental monitoring}, DOI={10.1109/iedm.2015.7409687}, abstractNote={The vision of the NSF Center on Advanced Self-Powered Systems of Integrated Sensors and Technologies (ASSIST) is to develop nano-enabled technologies to achieve a paradigm shift towards long-term health and wellness management. To achieve this, the center is building self-powered, wearable and multimodal sensing systems for correlation of environmental exposures to physiological parameters. This paper presents the latest advances in environmental and personal health sensors that have ultra-low power consumption and are highly selective and sensitive to enable real time, continuous, and wearable platforms.}, booktitle={2015 IEEE International Electron Devices Meeting (IEDM)}, author={Misra, Veena and Lee, B. and Manickam, P. and Lim, M. and Pasha, S. K. and Mills, S. and Bhansali, S.}, year={2015} } @article{sarkar_lee_misra_2015, title={Understanding the gradual reset in Pt/Al2O3/Ni RRAM for synaptic applications}, volume={30}, ISSN={["1361-6641"]}, DOI={10.1088/0268-1242/30/10/105014}, abstractNote={In this work, a study has been performed to understand the gradual reset in Al2O3 resistive random-access memory (RRAM). Concentration of vacancies created during the forming or set operation is found to play a major role in the reset mechanism. The reset was observed to be gradual when a significantly higher number of vacancies are created in the dielectric during the set event. The vacancy concentration inside the dielectric was increased using a multi-step forming method which resulted in a diffusion-dominated gradual filament dissolution during the reset in Al2O3 RRAM. The gradual dissolution of the filament allows one to control the conductance of the dielectric during the reset. RRAM devices with gradual reset show excellent endurance and retention for multi-bit storage. Finally, the conductance modulation characteristics realizing synaptic learning are also confirmed in the RRAM.}, number={10}, journal={SEMICONDUCTOR SCIENCE AND TECHNOLOGY}, author={Sarkar, Biplab and Lee, Bongmook and Misra, Veena}, year={2015}, month={Oct} } @inproceedings{sarkar_lee_misra_2015, title={Understanding the influence of E-a and band-offset toward the conductance modulation in Al2O3 and HfO2 synaptic RRAM}, DOI={10.1109/drc.2015.7175599}, abstractNote={This work highlights the contribution of Ea and band-offset toward conductance change in RRAM dielectrics. Both Al2O3 and HfO2 RRAM showed a gradual conductance change suitable for synaptic applications, and the lower Ea of the dielectric helps in generating higher number of vacancies during set and higher band-offset of the dielectric limiting the TAT current during reset resulting in a higher conductance change in Al2O3 RRAM compared to HfO2 RRAM.}, booktitle={2015 73rd Annual Device Research Conference (DRC)}, author={Sarkar, B. and Lee, B. and Misra, Veena}, year={2015}, pages={149–150} } @inproceedings{ramanan_lee_misra_2014, title={A novel methodology using pulsed-IV for interface or border traps characterization on AlGaN/GaN MOSHFETs}, DOI={10.1109/ispsd.2014.6856052}, abstractNote={Characterization of traps at a dielectric/AlGaN interface is critical to evaluate the reliability of the dielectric for the gate stack or passivation of an AlGaN/GaN based MOS Heterojunction Field Effect Transistor (MOSHFET). In this work, we propose a new methodology for interface and border traps characterization using simple DC IV, CV and pulsed-IV measurements. Along with a generic UV lamp, we use this technique to characterize both shallow and deep trap concentrations across the entire AlGaN band gap. The resulting analysis of the ALD HfAlO/AlGaN interface reveals a high density of shallow traps (~7×1013 cm-2.eV-1) and deep traps (1011-1012 cm-2.eV-1) with a characteristic U-shape.}, booktitle={Proceedings of the international symposium on power semiconductor}, author={Ramanan, N. and Lee, B. and Misra, Veena}, year={2014}, pages={366–369} } @article{ramanan_lee_misra_2014, title={Device Modeling for Understanding AlGaN/GaN HEMT Gate-Lag}, volume={61}, ISSN={["1557-9646"]}, DOI={10.1109/ted.2014.2313814}, abstractNote={Using a simple simulation framework, it is shown that a passivation dielectric that minimizes surface leakage and creates a high density of shallow traps at the surface is vital to minimize the formation of the virtual gate and eliminate AlGaN/GaN HEMT gate-lag. Under large negative gate voltage, this is also expected to create higher fields and current crowding at the gate edge, promoting an increase in total gate leakage. While the AlGaN barrier properties are also found to impact gate-lag, the use of a passivation dielectric that minimizes surface leakage can overpower it's influence and suppress current collapse. Access region shrinking and the use of a longer gate are also found to improve gate-lag.}, number={6}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Ramanan, Narayanan and Lee, Bongmook and Misra, Veena}, year={2014}, month={Jun}, pages={2012–2018} } @article{sarkar_ramanan_jayanti_di spigna_lee_franzon_misra_2014, title={Dual Floating Gate Unified Memory MOSFET With Simultaneous Dynamic and Non-Volatile Operation}, volume={35}, ISSN={["1558-0563"]}, DOI={10.1109/led.2013.2289751}, abstractNote={Dual floating gate flash memory has been fabricated and characterized to show dynamic operation, non-volatile operation, and simultaneous dynamic and non-volatile operation. The gate stack consists of a thin dielectric separating two floating gates sandwiched between a tunnel dielectric and interpoly dielectric. The quality of the thin dielectric that separates the floating gates is of utmost importance to retain dynamic operation. In this letter, we investigate a dual floating gate memory transistor and show its potential to combine DRAM and flash functionality in the same device.}, number={1}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Sarkar, Biplab and Ramanan, Narayanan and Jayanti, Srikant and Di Spigna, Neil and Lee, Bongmook and Franzon, Paul and Misra, Veena}, year={2014}, month={Jan}, pages={48–50} } @article{kirkpatrick_lee_ramanan_misra_2014, title={Flash MOS-HFET operational stability for power converter circuits}, volume={11}, ISSN={["1862-6351"]}, DOI={10.1002/pssc.201300547}, abstractNote={Abstract}, number={3-4}, journal={PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 11, NO 3-4}, author={Kirkpatrick, Casey and Lee, Bongmook and Ramanan, Narayanan and Misra, Veena}, year={2014}, pages={875–878} } @article{yang_lee_misra_2014, title={High Mobility 4H-SiC MOSFETs Using Lanthanum Silicate Interface Engineering and ALD Deposited SiO2}, volume={778-780}, ISBN={["*****************"]}, ISSN={["0255-5476"]}, DOI={10.4028/www.scientific.net/msf.778-780.557}, abstractNote={In this work, we have developed a novel gate stack to enhance the mobility of Si face (0001) 4H-SiC lateral MOSFETs while maintaining a high threshold voltage. The gate dielectric consists a thin lanthanum silicate layer at SiC/dielectric interface and SiO2deposited by atomic layer deposition. MOSFETs using this interface engineering technique show a peak field effect mobility of 133.5 cm2/Vs while maintaining a positive threshold voltage of above 3V. The interface state density measured on MOS capacitor with lanthanum silicate interfacial layers is reduced compared to the capacitors without the silicate. It is shown that the presence of the lanthanum at the interface reduces the formation of a lower quality SiOxinterfacial layer typically formed at the SiC surface during typical high temperature anneals. This better quality interfacial layer produces a sharp SiC/dielectric interface, which is confirmed by cross section Z-contrast STEM images.}, journal={SILICON CARBIDE AND RELATED MATERIALS 2013, PTS 1 AND 2}, author={Yang, Xiangyu and Lee, Bongmook and Misra, Veena}, year={2014}, pages={557–561} } @inproceedings{ji_lee_wang_misra_huang_choi_2014, title={High voltage AlGaN/GaN HFET employing low taper angle field-plate for stable forward blocking capability}, DOI={10.1109/ispsd.2014.6856028}, abstractNote={A new high voltage AlGaN/GaN heterojuction field effect transistor (HFET) employing low taper angle field-plate (LTA-FP) has been proposed and verified experimentally to achieve stable forward blocking capability with low leakage current. Proposed device with a LTA-FP of 10 degrees, fabricated by adopting a new taper etching process, exhibits stable forward blocking capability with low leakage current (2 orders of magnitude smaller) under repetitive high voltage stress, whereas the conventional device with steep FP of 70 degree shows that unstable behavior under the same stress. These experimental results indicate that the proposed LTA-FP suppresses the electric field concentration at the gate edge successfully and is an effective approach to secure the stable blocking characteristics of GaN based high voltage devices.}, booktitle={Proceedings of the international symposium on power semiconductor}, author={Ji, I. H. and Lee, B. M. and Wang, S. Z. and Misra, Veena and Huang, A. Q. and Choi, Y. H.}, year={2014}, pages={269–272} } @inproceedings{sarkar_lee_misra_2014, title={Implications of lower zero-field activation energy of dielectric in Al2O3/HfO2 bi-layer dielectric RRAM forming process}, volume={64}, number={14}, booktitle={Nonvolatile memories 3}, author={Sarkar, B. and Lee, B. and Misra, V.}, year={2014}, pages={41–46} } @article{lee_choi_kirkpatrick_huang_misra_2013, title={Improved high-temperature device transport properties and off-state characteristics of AlGaN/GaN power devices with atomic layer deposition (ALD) HfAlO high-k dielectric}, volume={28}, ISSN={["1361-6641"]}, DOI={10.1088/0268-1242/28/7/074016}, abstractNote={The effect of the atomic layer deposition (ALD) HfAlO high-k dielectric on device transport properties and breakdown characteristics of an AlGaN/GaN metal–oxide–semiconductor hetero-junction field-effect transistor (MOS-HFET) was evaluated based on temperature-dependent measurements. It was found that the MOS-HFET device with a HfAlO gate dielectric shows high-channel mobility greater than the Schottky HFET device for the measured temperature range (25–150 °C). In the case of off-state breakdown characteristics, the MOS-HFET device greatly suppressed gate leakage currents for measured temperatures (25–200 °C) resulting in improvements in off-state breakdown characteristics. In contrast, large gate/drain leakage currents were observed for the Schottky HFET device at high temperature (>100 °C) resulting in about 200 V of breakdown voltage reduction. It was also found that the ALD HfAlO layer reduced surface leakage current by passivating the GaN surface effectively. Therefore, the MOS-HFET structure with the HfAlO gate dielectric is very attractive for GaN-based high-power and high-temperature device applications.}, number={7}, journal={SEMICONDUCTOR SCIENCE AND TECHNOLOGY}, author={Lee, B. and Choi, Y. H. and Kirkpatrick, C. and Huang, A. Q. and Misra, V.}, year={2013}, month={Jul} } @inproceedings{sarkar_jayanti_spigna_lee_misra_franzon_2013, title={Investigation of intermediate dielectric for dual floating gate MOSFET}, DOI={10.1109/nvmts.2013.6851052}, abstractNote={A dual floating gate transistor offers potential as a unified memory, with simultaneous volatile and non-volatile storage. The quality of the dielectric between the two floating gates is critical to achieving the required dynamic cycle endurance. This paper reports on the results of early experiments into the material choice and process for this dielectric.}, booktitle={2013 13th Non-Volatile Memory Technology Symposium (NVMTS)}, author={Sarkar, B. and Jayanti, S. and Spigna, N. Di and Lee, B. and Misra, Veena and Franzon, Paul}, year={2013} } @article{wang_dhawan_du_batchelor_leonard_misra_vo-dinh_2013, title={Molecular sentinel-on-chip for SERS-based biosensing}, volume={15}, ISSN={["1463-9076"]}, DOI={10.1039/c3cp00076a}, abstractNote={The development of DNA detection techniques on large-area plasmonics-active platforms is critical for many medical applications such as high-throughput screening, medical diagnosis and systems biology research. Here, we report for the first time a unique "molecular sentinel-on-chip" (MSC) technology for surface-enhanced Raman scattering (SERS)-based DNA detection. This unique approach allows label-free detection of DNA molecules on chips developed on a wafer scale using large area nanofabrication methodologies. To develop plasmonics-active biosensing platforms in a repeatable and reproducible manner, we employed a combination of deep UV lithography, atomic layer deposition, and metal deposition to fabricate triangular-shaped nanowire (TSNW) arrays having controlled sub-10 nm gap nanostructures over an entire 6 inch wafer. The detection of a DNA sequence of the Ki-67 gene, a critical breast cancer biomarker, on the TSNW substrate illustrates the usefulness and potential of the MSC technology as a novel SERS-based DNA detection method.}, number={16}, journal={PHYSICAL CHEMISTRY CHEMICAL PHYSICS}, author={Wang, Hsin-Neng and Dhawan, Anuj and Du, Yan and Batchelor, Dale and Leonard, Donovan N. and Misra, Veena and Vo-Dinh, Tuan}, year={2013}, pages={6008–6015} } @article{ramanan_lee_kirkpatrick_suri_misra_2013, title={Properties of atomic layer deposited dielectrics for AlGaN/GaN device passivation}, volume={28}, ISSN={["1361-6641"]}, DOI={10.1088/0268-1242/28/7/074004}, abstractNote={In order to minimize ac–dc dispersion, reduce gate leakage and maximize ac transconductance, there is a critical need to identify optimal interfaces, low-k passivation dielectrics and high-k gate dielectrics. In this paper, an investigation of different atomic layer deposited (ALD) passivation dielectrics on AlGaN/GaN-based hetero-junction field effect transistors (HFETs) was performed. Angle-resolved x-ray photoelectron spectroscopy revealed that HCl/HF and NH4OH cleans resulted in a reduction of native oxide and carbon levels at the GaN surface. The role of high temperature anneals, following the ALD, on the effectiveness of passivation was also explored. Gate-lag measurements on HFETs passivated with a thin ALD high-k Al2O3 or HfAlO layer capped with a thick plasma enhanced chemical vapor deposited (PECVD) low-k SiO2 layer, annealed at 600–700 °C, were found to be as good as or even better than those with conventional PECVD silicon nitride passivation. Further, it was observed that different passivation dielectric stacks required different anneal temperatures for improved gate-lag behavior compared to the as-deposited case.}, number={7}, journal={SEMICONDUCTOR SCIENCE AND TECHNOLOGY}, author={Ramanan, Narayanan and Lee, Bongmook and Kirkpatrick, Casey and Suri, Rahul and Misra, Veena}, year={2013}, month={Jul} } @inbook{di spigna_schinke_jayanti_misra_franzon_2013, title={Simulation and Experimental Characterization of a Unified Memory Device with Two Floating-Gates}, ISBN={9783642450723 9783642450730}, ISSN={1868-4238 1868-422X}, url={http://dx.doi.org/10.1007/978-3-642-45073-0_12}, DOI={10.1007/978-3-642-45073-0_12}, abstractNote={The operation of a novel unified memory device using two floating-gates is described through experimental characterization of a fabricated proof-of-concept device and confirmed through simulation. The dynamic, nonvolatile, and concurrent modes of the device are described in detail. Simulations show that the device compares favorably to conventional memory devices. Applications enabled by this unified memory device are discussed, highlighting the dramatic impact this device could have on next generation memory architectures.}, booktitle={VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design}, publisher={Springer Berlin Heidelberg}, author={Di Spigna, Neil and Schinke, Daniel and Jayanti, Srikant and Misra, Veena and Franzon, Paul}, year={2013}, pages={217–233} } @article{kirkpatrick_lee_suri_yang_misra_2012, title={Atomic Layer Deposition of SiO2 for AlGaN/GaN MOS-HFETs}, volume={33}, ISSN={["1558-0563"]}, DOI={10.1109/led.2012.2203782}, abstractNote={This letter investigates the electrical properties of SiO2 gate dielectric on GaN heterostructures deposited by atomic layer deposition (ALD). ALD SiO2 has a dielectric constant of 3.9 and a bandgap of 8.8 eV. ALD SiO2 provides a good interface to GaN and minimizes the interfacial layer growth. The threshold voltage of metal-oxide-semiconductor heterojunction field-effect transistors with ALD SiO2 dielectric is -1.5 V, owing to a fixed charge concentration of -1.6 × 1012 cm-2. It was also found that devices with ALD SiO2 dielectric exhibit three orders of magnitude reduction in gate leakage current compared to conventional Schottky gate HFETs.}, number={9}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Kirkpatrick, Casey J. and Lee, Bongmook and Suri, Rahul and Yang, Xiangyu and Misra, Veena}, year={2012}, month={Sep}, pages={1240–1242} } @article{kaushal_iniguez-de-la-torre_gonzalez_mateos_lee_misra_margala_2012, title={Effects of a High-k Dielectric on the Performance of III-V Ballistic Deflection Transistors}, volume={33}, ISSN={["1558-0563"]}, DOI={10.1109/led.2012.2197669}, abstractNote={This letter presents a first successful integration of a high-k dielectric, i.e., Al2O3, with III-V semiconductors in ballistic deflection transistors (BDTs). The Al2O3 is deposited using atomic layer deposition, which allows the formation of uniform layers along the walls of etched trenches. The BDT transfer characteristic shows strong dependence on the dielectric permittivity of the material filling the etched trenches. When Al2O3 is deposited in the trenches, the transconductance of the BDT is enhanced and shifted to lower gate bias. Moreover, the ratio between output and leakage currents was also enhanced.}, number={8}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Kaushal, Vikas and Iniguez-de-la-Torre, Ignacio and Gonzalez, Tomas and Mateos, Javier and Lee, Bongmook and Misra, Veena and Margala, Martin}, year={2012}, month={Aug}, pages={1120–1122} } @article{lee_kirkpatrick_choi_yang_huang_misra_2012, title={Normally-off AlGaN/GaN MOSHFET using ALD SiO2 tunnel dielectric and ALD HfO2 charge storage layer for power device application}, volume={9}, ISSN={["1862-6351"]}, DOI={10.1002/pssc.201100422}, abstractNote={Abstract}, number={3-4}, journal={PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 9, NO 3-4}, author={Lee, Bongmook and Kirkpatrick, Casey and Choi, Young-hwan and Yang, Xiangyu and Huang, Alex Q. and Misra, Veena}, year={2012}, pages={868–870} } @article{kirkpatrick_lee_choi_huang_misra_2012, title={Threshold voltage stability comparison in AlGaN/GaN FLASH MOS-HFETs utilizing charge trap or floating gate charge storage}, volume={9}, ISSN={["1862-6351"]}, DOI={10.1002/pssc.201100421}, abstractNote={Abstract}, number={3-4}, journal={PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 9, NO 3-4}, author={Kirkpatrick, Casey and Lee, Bongmook and Choi, YoungHwan and Huang, Alex and Misra, Veena}, year={2012}, pages={864–867} } @article{coan_johnson_woo_biswas_misra_majhi_harris_2012, title={Work function extraction of metal gates with alternate channel materials}, volume={30}, ISSN={["2166-2746"]}, DOI={10.1116/1.3679412}, abstractNote={The effects of a heterojunction on the effective work function in a metal/high κ gate stack are studied and a new structure developed for the extraction of the work function. It is found that when a Ge/Si heterostructure on silicon is low doped and sufficiently thin, then the work function can be extracted in a manner similar to that on a simple silicon substrate. Modifications to the terraced oxide structure are proposed to remove oxidation effects of the alternate channel materials. The extracted work function of thickness variation of TiN is found to be in agreement with that of TiN on a silicon substrate.}, number={2}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Coan, Mary and Johnson, Derek and Woo, Jung Hwan and Biswas, Nivedita and Misra, Veena and Majhi, Prashant and Harris, H. Rusty}, year={2012}, month={Mar} } @article{jeff_yun_ramalingam_lee_misra_triplett_gangopadhyay_2011, title={Charge storage characteristics of ultra-small Pt nanoparticle embedded GaAs based non-volatile memory}, volume={99}, ISSN={["0003-6951"]}, DOI={10.1063/1.3625426}, abstractNote={Charge storage characteristics of ultra-small Pt nanoparticle embedded devices were characterized by capacitance-voltage measurements. A unique tilt target sputtering configuration was employed to produce highly homogenous nanoparticle arrays. Pt nanoparticle devices with sizes ranging from ∼0.7 to 1.34 nm and particle densities of ∼3.3–5.9 × 1012 cm−2 were embedded between atomic layer deposited and e-beam evaporated tunneling and blocking Al2O3 layers. These GaAs-based non-volatile memory devices demonstrate maximum memory windows equivalent to 6.5 V. Retention characteristics show that over 80% charged electrons were retained after 105 s, which is promising for device applications.}, number={7}, journal={APPLIED PHYSICS LETTERS}, author={Jeff, R. C., Jr. and Yun, M. and Ramalingam, B. and Lee, B. and Misra, V. and Triplett, G. and Gangopadhyay, S.}, year={2011}, month={Aug} } @article{dhawan_du_batchelor_wang_leonard_misra_ozturk_gerhold_vo-dinh_2011, title={Hybrid Top-Down and Bottom-Up Fabrication Approach for Wafer-Scale Plasmonic Nanoplatforms}, volume={7}, ISSN={["1613-6810"]}, DOI={10.1002/smll.201002186}, abstractNote={Bridging the nanoscale level of probe fabrication and the megascale dimensions of sensor systems is one of the greatest challenges in the development of large-area plasmonic sensing platforms. We report a generalized hybrid nanofabrication approach combining top-down (deep-UV lithography) and bottom-up (controlled lateral epitaxial growth and atomic layer deposition) fabrication techniques for the development of nanostructured platforms. This technology allows the development of reproducible substrates with controlled sub-10 nm gaps between plasmonic nanostructures over an entire 6 inch wafer (1 inch ≈ 2.54 cm). By integrating soft matter (DNA probes) and hard matter (silicon nanochips), these}, number={6}, journal={SMALL}, author={Dhawan, Anuj and Du, Yan and Batchelor, Dale and Wang, Hsin-Neng and Leonard, Donovon and Misra, Veena and Ozturk, Mehmet and Gerhold, Michael D. and Vo-Dinh, Tuan}, year={2011}, month={Mar}, pages={727–731} } @article{lee_lichtenwalner_novak_misra_2011, title={Impact of AlTaO Dielectric Capping on Device Performance and Reliability for Advanced Metal Gate/High-k PMOS Application}, volume={58}, ISSN={["1557-9646"]}, DOI={10.1109/ted.2011.2160064}, abstractNote={We have investigated the effect of ultrathin Al-Ta-based capping layers on HfO2 and experimentally demonstrated that, with proper Al and Ta composition, an AlTaO capping layer is a good candidate dielectric for PMOSFET devices. Lower threshold voltage and significantly improved mobility were observed with AlTaO capping without degrading the dielectric properties. The addition of Ta in an AlTaO structure produces d-states in the Al2O3 matrix, resulting in an additional VT shift toward the PMOS band edge. This AlTaO capping layer not only modulates the device VT suitably for PMOS applications but also retards Al diffusion through the HfO2 layer, preventing Al-caused mobility degradation. Furthermore, the incorporation of a capping layer can improve reliability characteristics during the negative bias stress.}, number={9}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Lee, Bongmook and Lichtenwalner, Daniel J. and Novak, Steven R. and Misra, Veena}, year={2011}, month={Sep}, pages={2928–2935} } @article{thomas_zhu_dennis_misra_mcmichael_2011, title={Impact of Gd dopants on current polarization and the resulting effect on spin transfer velocity in Permalloy wires}, volume={110}, ISSN={["0021-8979"]}, DOI={10.1063/1.3610517}, abstractNote={A spin wave Doppler technique is used to measure the spin transfer velocity and the current polarization in current-carrying (Ni0.80Fe0.20)1−xGdx alloy wires. Reduced magnetization values with Gd doping suggest possible increases in the spin transfer velocity. Contrary to these expectations, we measured a decrease in the spin transfer velocity upon introducing Gd dopants. For a current density of 1011 A/m2, the measured velocities range from 6.0 m/s ± 0.6 m/s for pure Permalloy (Ni0.80Fe0.20) to 2.6 m/s ± 0.3 m/s for (Ni0.80Fe0.20)0.945Gd0.055. Interpretation of these values yields a current polarization ranging from 0.71 ± 0.02 to 0.30 ± 0.01 for the same compositions. These results reveal that Gd dopants in Permalloy have a more significant impact on the current polarization than on the material’s magnetization for these alloy compositions.}, number={3}, journal={JOURNAL OF APPLIED PHYSICS}, author={Thomas, R. L. and Zhu, M. and Dennis, C. L. and Misra, V. and McMichael, R. D.}, year={2011}, month={Aug} } @article{lee_novak_lichtenwalner_yang_misra_2011, title={Investigation of the Origin of V-T/V-FB Modulation by La2O3 Capping Layer Approaches for NMOS Application: Role of La Diffusion, Effect of Host High-k Layer, and Interface Properties}, volume={58}, ISSN={["1557-9646"]}, DOI={10.1109/ted.2011.2159306}, abstractNote={The role of La2O3 capping in the VT/VFB shift with various high- k and metal gate electrodes was systematically investigated. It was found that the La concentration at the high-k/SiO2 interface is mainly responsible for the VT/VFB modulation in NMOS devices, whereas the effect of the host high-k and gate electrodes on VT/VFB is minimal. A 400-mV shift in VT from the control HfO2 device with minimal degradation in mobility was obtained when a La2O3 layer was inserted between the high-k and SiO2 layers. It was also found that the incorporation of La2O3 in the dielectric stack improves device reliability in terms of breakdown and positive-bias temperature instability characteristics. The main key for the VFB shift is the ability of La diffusion through the host high-k material.}, number={9}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Lee, Bongmook and Novak, Steven R. and Lichtenwalner, Daniel J. and Yang, Xiangyu and Misra, Veena}, year={2011}, month={Sep}, pages={3106–3115} } @article{kayis_leach_zhu_wu_li_özgür_morkoç_yang_misra_handel_2011, title={Measurements of generation-recombination effect by low-frequency phase-noise technique in AlGaN/GaN MOSHFETs}, volume={8}, ISSN={1862-6351}, url={http://dx.doi.org/10.1002/pssc.201000873}, DOI={10.1002/pssc.201000873}, abstractNote={Abstract}, number={5}, journal={physica status solidi (c)}, publisher={Wiley}, author={Kayis, Cemil and Leach, Jacob H. and Zhu, C.Y. and Wu, Mo and Li, X. and Özgür, Ümit and Morkoç, Hadis and Yang, X. and Misra, Veena and Handel, Peter H.}, year={2011}, month={Mar}, pages={1539–1543} } @article{ramanan_misra_2011, title={Multivalued Logic Using a Novel Multichannel GaN MOS Structure}, volume={32}, ISSN={["1558-0563"]}, DOI={10.1109/led.2011.2163149}, abstractNote={Bulk-Si CMOS technology has been consistently improving for over 40 years, following Moore's law, by gate length scaling. In this letter, we present a novel charge-based multistate transistor device on the AlGaN/GaN system which uses a given gate length but handles more than two states any time. This novel multichannel MOS device, having a higher processing capability than a binary transistor, is then used to implement multiple valued logic gates in a pull-down network scheme. In this letter, we use the results of a 2-D device simulation as proof of concept and propose architectures for the implementation of some basic quaternary logic gates.}, number={10}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Ramanan, Narayanan and Misra, Veena}, year={2011}, month={Oct}, pages={1379–1381} } @article{kirkpatrick_lee_yang_misra_wetzel_khan_2011, title={Performance improvement of AlGaN/GaN high electron mobility transistors with atomic layer deposition (ALD) of SiO2 and HfAlO dielectrics}, volume={8}, ISSN={["1862-6351"]}, DOI={10.1002/pssc.201001064}, abstractNote={Abstract}, number={7-8}, journal={PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 8, NO 7-8}, author={Kirkpatrick, Casey and Lee, Bongmook and Yang, Xiangyu and Misra, Veena and Wetzel, C and Khan, A}, year={2011} } @article{jayanti_misra_2011, title={Suppression of dielectric crystallization on metal by introduction of SiO2 layer for metal floating gate memory blocking oxide}, volume={99}, ISSN={["1077-3118"]}, DOI={10.1063/1.3661173}, abstractNote={A technique of reducing the higher degree of dielectric crystallization on polycrystalline metal has been investigated by inserting a thin SiO2 layer interfacing the metal for application as high-k blocking oxide in metal floating gate FLASH memories. Grazing incidence x-ray diffraction study showed that the insertion of an amorphous interfacial layer (IL) suppresses the crystallization of HfAlO blocking oxide considerably. The electrical performance of the blocking oxide stacks was characterized using metal-insulator-metal capacitors, and the thermal stability was observed to improve by more than an order of magnitude with the incorporation of SiO2 IL.}, number={22}, journal={APPLIED PHYSICS LETTERS}, author={Jayanti, Srikant and Misra, Veena}, year={2011}, month={Nov} } @article{sarkar_culp_whyland_garvan_misra_2010, title={Encapsulation of organic solar cells with ultrathin barrier layers deposited by ozone-based atomic layer deposition}, volume={11}, ISSN={["1878-5530"]}, DOI={10.1016/j.orgel.2010.08.020}, abstractNote={Ultrathin Al2O3 layers, deposited using atomic layer deposition (ALD), have been utilized as the primary barrier layers for encapsulation of organic solar cells. This work shows that the encapsulation characteristics of a barrier layer can be accentuated by replacing H2O with O3 as the ALD oxidant. The Al2O3 layers deposited using O3 offered superior device encapsulation compared to the films deposited using H2O. The organic solar cell efficiency has also been studied as a function of Al2O3 thickness and effective encapsulation has been monitored for two different ALD temperatures.}, number={12}, journal={ORGANIC ELECTRONICS}, author={Sarkar, Smita and Culp, Jason H. and Whyland, Jon T. and Garvan, Margret and Misra, Veena}, year={2010}, month={Dec}, pages={1896–1900} } @article{suri_kirkpatrick_lichtenwalner_misra_2010, title={Energy-band alignment of Al2O3 and HfAlO gate dielectrics deposited by atomic layer deposition on 4H–SiC}, volume={96}, ISSN={0003-6951 1077-3118}, url={http://dx.doi.org/10.1063/1.3291620}, DOI={10.1063/1.3291620}, abstractNote={Energy band alignment and band gap of Al2O3 and HfAlO films grown by atomic layer deposition on 4H–SiC were determined using x-ray photoelectron spectroscopy. Al2O3 exhibited a symmetric band profile with a conduction band offset (ΔEC) of 1.88 eV and a valence band offset (ΔEV) of 1.87 eV. HfAlO yielded a smaller ΔEC of 1.16 eV and ΔEV of 1.59 eV. The higher dielectric constant and higher effective breakdown field of HfAlO compared to Al2O3, coupled with sufficient electron and hole barrier heights, makes it a potential dielectric for use on 4H–SiC, and provokes interest in further investigation of HfAlO/4H–SiC properties.}, number={4}, journal={Applied Physics Letters}, publisher={AIP Publishing}, author={Suri, Rahul and Kirkpatrick, Casey J. and Lichtenwalner, Daniel J. and Misra, Veena}, year={2010}, month={Jan}, pages={042903} } @article{suri_lichtenwalner_misra_2010, title={Interfacial self cleaning during atomic layer deposition and annealing of HfO2 films on native (100)-GaAs substrates}, volume={96}, ISSN={["0003-6951"]}, DOI={10.1063/1.3357422}, abstractNote={The reduction in native oxides on GaAs surface during atomic layer deposition (ALD) of HfO2 using tetrakis-dimethylamino-hafnium precursor was investigated using x-ray photoelectron spectroscopy. The role of the ALD growth temperature on the reaction between surface oxides and precursor was studied. Interfacial oxide reduction was found to be insignificant for ALD at 200 °C, while nearly complete for growth at 300 °C. During postdeposition annealing at 400 °C, any arsenic oxides present were found to decompose, resulting in an increase in the interfacial gallium oxides. Thus, control of the ALD process plays a large role in determining interface properties.}, number={11}, journal={APPLIED PHYSICS LETTERS}, author={Suri, Rahul and Lichtenwalner, Daniel J. and Misra, Veena}, year={2010}, month={Mar} } @article{bartic_chan_fromherz_judy_kan_leburton_li_misra_reed_timp_2010, title={Introduction to the Special Section on Electronic and Ionic Interfaces to Biomolecules and Cells}, volume={9}, ISSN={["1536-125X"]}, DOI={10.1109/tnano.2010.2049699}, abstractNote={The six articles in this special section focus on electronic and ionic interfaces to biomolecules and cells. The section includes discussions on the current status of experimental and modeling efforts on such topics as ion channels, nanowires, and patch clamps.}, number={3}, journal={IEEE TRANSACTIONS ON NANOTECHNOLOGY}, author={Bartic, Carmen and Chan, Mansun and Fromherz, Peter and Judy, Jack W. and Kan, Edwin C. and Leburton, Jean-Pierre and Li, Jun and Misra, Veena and Reed, Mark A. and Timp, Greg L.}, year={2010}, month={May}, pages={268–268} } @article{kayis_leach_zhu_wu_li_oezguer_morkoc_yang_misra_handel_2010, title={Low-Frequency Noise Measurements of AlGaN/GaN Metal-Oxide-Semiconductor Heterostructure Field-Effect Transistors With HfAlO Gate Dielectric}, volume={31}, ISSN={["0741-3106"]}, DOI={10.1109/led.2010.2055823}, abstractNote={We report on the low-frequency phase-noise measurements of AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors employing HfAlO as the gate dielectric. Some devices tested exhibited noise spectra deviating from the well-known 1/fγ spectrum. These devices showed broad peaks in the noise spectral density versus frequency plots, which shifted toward higher frequencies at elevated temperatures. The temperature dependence of the frequency position of this peak allowed us to determine the energy level of these excess traps as 0.22 ± 0.06 eV below the conduction band for the bias conditions employed.}, number={9}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Kayis, Cemil and Leach, Jacob H. and Zhu, C. Y. and Wu, Mo and Li, X. and Oezguer, Uemit and Morkoc, Hadis and Yang, X. and Misra, Veena and Handel, Peter H.}, year={2010}, month={Sep}, pages={1041–1043} } @article{dhawan_du_yan_gerhold_misra_vo-dinh_2010, title={Methodologies for Developing Surface-Enhanced Raman Scattering (SERS) Substrates for Detection of Chemical and Biological Molecules}, volume={10}, ISSN={["1558-1748"]}, DOI={10.1109/jsen.2009.2038634}, abstractNote={This paper describes methodologies for developing efficient surface-enhanced Raman scattering (SERS) substrates such as annealing thin gold films for developing gold nanoislands, fabrication of nanopillars arrays and roughened films by employing focused ion beam (FIB) milling of gold films, as well as overcoating deep-UV-fabricated silicon nanowires with a layer of gold film. Excitation of surface plasmons in these gold nanostructures leads to substantial enhancement in the Raman scattering signal obtained from molecules lying in the vicinity of the nanostructure surface. In this paper, we perform comparative studies of SERS signals from molecules such as p-mercaptobenzoic acid and cresyl fast violet attached to or adsorbed on various gold SERS substrates. It was observed that gold-coated silicon nanowire substrates and annealed gold island substrates provided considerably higher SERS signals as compared to those from the FIB patterned substrates and planar gold films. The SERS substrates developed by the different processes were employed for detection of biological molecules such as dipicolinic acid, an excellent marker for spores of bacteria such as Anthrax.}, number={3}, journal={IEEE SENSORS JOURNAL}, author={Dhawan, Anuj and Du, Yan and Yan, Fei and Gerhold, Michael D. and Misra, Veena and Vo-Dinh, Tuan}, year={2010}, month={Mar}, pages={608–616} } @misc{misra_shrivastava_chen_mathur_2010, title={Molecular memory devices including solid-state dielectric layers and related methods}, volume={7,642,546}, number={2010 Jan. 5}, author={Misra, V. and Shrivastava, R. and Chen, Z. and Mathur, G.}, year={2010} } @inproceedings{lee_kirkpatrick_yang_jayanti_suri_roberts_misra_2010, title={Normally-off AlGaN/GaN-on-Si MOSHFETs with TaN floating gates and ALD SiO2 tunnel dielectrics}, DOI={10.1109/iedm.2010.5703401}, abstractNote={In this work, we have demonstrated a normally-off AlGaN/GaN metal-oxide semiconductor heterojunction field effect transistor (MOSHFET) wherein the enhancement mode operation is enabled by charge storage within a metal floating gate embedded in a dielectric stack and negative charges in the tunnel oxide. By combining ALD SiO2 and TaN floating gate (FG), up to 6V of VT shift after pulse programming (corresponding ∼ 1.2×1013 charges/cm2 stored within the FG) is obtained which results in a normally-off device with low gate leakage and good transconductance.}, booktitle={2010 international electron devices meeting - technical digest}, author={Lee, B. and Kirkpatrick, C. and Yang, X. Y. and Jayanti, S. and Suri, R. and Roberts, J. and Misra, Veena}, year={2010} } @article{vo-dinh_dhawan_norton_khoury_wang_misra_gerhold_2010, title={Plasmonic Nanoparticles and Nanowires: Design, Fabrication and Application in Sensing}, volume={114}, ISSN={["1932-7455"]}, DOI={10.1021/jp911355q}, abstractNote={This study involves two aspects of our investigations of plasmonics-active systems: (i) theoretical and simulation studies and (ii) experimental fabrication of plasmonics-active nanostructures. Two types of nanostructures are selected as the model systems for their unique plasmonics properties: (1) nanoparticles and (2) nanowires on substrate. Special focus is devoted to regions where the electromagnetic field is strongly concentrated by the metallic nanostructures or between nanostructures. The theoretical investigations deal with dimers of nanoparticles and nanoshells using a semi-analytical method based on a multipole expansion (ME) and the finite-element method (FEM) in order to determine the electromagnetic enhancement, especially at the interface areas of two adjacent nanoparticles. The experimental study involves the design of plasmonics-active nanowire arrays on substrates that can provide efficient electromagnetic enhancement in regions around and between the nanostructures. Fabrication of these nanowire structures over large chip-scale areas (from a few millimeters to a few centimeters) as well as FDTD simulations to estimate the EM fields between the nanowires are described. The application of these nanowire chips using surface-enhanced Raman scattering (SERS) for detection of chemicals and labeled DNA molecules is described to illustrate the potential of the plasmonics chips for sensing.}, number={16}, journal={JOURNAL OF PHYSICAL CHEMISTRY C}, author={Vo-Dinh, Tuan and Dhawan, Anuj and Norton, Stephen J. and Khoury, Christopher G. and Wang, Hsin-Neng and Misra, Veena and Gerhold, Michael D.}, year={2010}, month={Apr}, pages={7480–7488} } @article{novak_lee_yang_misra_2010, title={Platinum Nanoparticles Grown by Atomic Layer Deposition for Charge Storage Memory Applications}, volume={157}, ISSN={["1945-7111"]}, DOI={10.1149/1.3365031}, abstractNote={This paper explores platinum nanoparticle formation during the early stages of growth by atomic layer deposition. Particle size and distribution can be controlled by altering growth parameters. The particles show excellent temperature stability up to 900°C as examined by transmission electron microscopy and in situ heating. Capacitance-voltage and charge retention measurements demonstrate the memory effect in metal-oxide-semiconductor capacitors with embedded nanoparticles. The size, density, charge storage, and temperature stability of the platinum nanoparticles make them attractive for use as charge storage layers for nonvolatile memory devices.}, number={6}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Novak, Steven and Lee, Bongmook and Yang, Xiangyu and Misra, Veena}, year={2010}, pages={H589–H592} } @article{jayanti_yang_lichtenwalner_misra_2010, title={Technique to improve performance of Al2O3 interpoly dielectric using a La2O3 interface scavenging layer for floating gate memory structures}, volume={96}, ISSN={["0003-6951"]}, DOI={10.1063/1.3355547}, abstractNote={A technique of scavenging the SiO2 interfacial layer (IL) to improve the electrical performance of Al2O3 as the interpoly dielectric for flash memories has been studied. Scavenging was performed by the reaction of a thin La2O3 layer with the native oxide to form a high-κ lanthanum silicate. Significant improvement in the charge trapping and leakage characteristics were obtained. Transmission electron microscopy analysis was done to corroborate the electrical results. Results show that seven orders of magnitude leakage reduction was achieved by the replacement of the SiO2 IL with a higher-κ dielectric LaSiO at the Si interface.}, number={9}, journal={APPLIED PHYSICS LETTERS}, author={Jayanti, Srikant and Yang, Xiangyu and Lichtenwalner, Daniel J. and Misra, Veena}, year={2010}, month={Mar} } @inproceedings{jayanti_yang_suri_misra_2010, title={Ultimate scalability of TaN metal floating gate with incorporation of High-K blocking dielectrics for flash memory applications}, DOI={10.1109/iedm.2010.5703301}, abstractNote={We have investigated ultrathin TaN metal floating gate (FG) with Hf based high-K interpoly dielectrics (IPD) for NAND Flash applications. In an attempt to investigate the memory behavior as the FG thickness is reduced, scalability of TaN FG down to 1 nm thickness has been explored. We have demonstrated excellent memory performance with program-erase (P-E) window as large as 16V. Our results indicate that high-K based IPD in conjunction with ultra-thin TaN metal FG can enable further scaling of NAND Flash memory beyond conventional oxide-nitride-oxide (ONO) based IPD technology.}, booktitle={2010 international electron devices meeting - technical digest}, author={Jayanti, S. and Yang, X. Y. and Suri, R. and Misra, Veena}, year={2010} } @article{chen_sarkar_biswas_misra_2009, title={Atomic Layer Deposition of Hafnium Dioxide on TiN and Self-Assembled Monolayer Molecular Film}, volume={156}, ISSN={["1945-7111"]}, DOI={10.1149/1.3125722}, abstractNote={Hafnium dioxide (HfO 2 ) thin films with thicknesses ranging from 20 to 100 A have been grown in a viscous flow reactor using atomic layer deposition (ALD) with tetrakis(dimethylamido)hafnium(IV) and water as the reactants. HfO 2 ALD films are deposited successfully at 200°C on different molecular monolayers. X-ray photoelectron spectroscopy and energy-dispersive X-ray spectroscopy analyses show a continuous growth of the HfO 2 layer on the self-assembled monolayer molecules. Electrical properties characterized by current-voltage measurements suggest that three parameters play important roles in determining the physical structure of HfO 2 , namely, the precursor pulse time, the sample exposure time, and the time for purging out an unreacted precursor. ALD conditions for different substrates have been optimized so that excellent electrical properties can be obtained for HfO 2 films on molecules. Transmission electron microscopy of 30 and 60 A ALD HfO 2 on TiN and molecular monolayers shows a continuous deposition of HfO 2 . This process enables the development of a class of molecular electronic devices, solid-state molecular memory devices.}, number={7}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Chen, Zhong and Sarkar, Smita and Biswas, Nivedita and Misra, Veena}, year={2009}, pages={H561–H566} } @article{alptekin_ozturk_misra_cho_kim_chopra_2009, title={Erbium Silicide Formation on Si1-xCx Epitaxial Layers}, volume={156}, ISSN={["1945-7111"]}, DOI={10.1149/1.3097189}, abstractNote={Erbium silicide (ErSi 2-x ) formation was investigated on Si 1-x C x epitaxial layers grown on Si substrates. Substitutional carbon incorporation in the epitaxial layers was in the range of 0.6-1.6%. The silicide films were formed by rapid thermal annealing of sputter-deposited erbium layers in the temperature range of 350-700°C. The sheet resistance of the silicide films formed on Si 1-x C x epitaxial layers was found to be equal to or less than the sheet resistance of the films formed on Si epitaxial layers. At 600°C, an average resistivity of 114 ± 4 μΩ cm was obtained. The silicide grains were found to be epitaxially aligned to the substrate along the (100) orientation, regardless of the carbon concentration in the underlying epitaxial layer. Compositional analysis of the films indicated carbon accumulation at the ErSi 2-x /Si 1-x C x interface with no carbon incorporation in the silicide. The films formed on Si 1-x C x epitaxial layers exhibited a smooth interface/surface morphology free of pinholes, contrary to the silicides formed on Si. The root-mean-square surface roughness was found to be less than 1.5 nm, which was found to be the case with both substitutional and interstitial incorporation of carbon atoms in the epitaxial layer.}, number={5}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Alptekin, Emre and Ozturk, Mehmet C. and Misra, Veena and Cho, Yonah and Kim, Yihwan and Chopra, Saurabh}, year={2009}, pages={H378–H383} } @article{lichtenwalner_misra_dhar_ryu_agarwal_2009, title={High-mobility enhancement-mode 4H-SiC lateral field-effect transistors utilizing atomic layer deposited Al2O3 gate dielectric}, volume={95}, ISSN={["1077-3118"]}, DOI={10.1063/1.3251076}, abstractNote={Lateral metal-oxide-semiconductor field-effect transistors (MOSFETs) have been fabricated on 4H-SiC utilizing deposited dielectrics and gate-last processing. The bilayer dielectric consists of thin nitrided SiO2 covered by 25 nm of Al2O3 deposited using atomic layer deposition. Field-effect mobility and threshold voltage (VT) vary with SiC nitric oxide (NO) anneal temperature. Peak mobility of 106 cm2/V⋅s was obtained with corresponding VT of 0.8 V. The peak mobility decreases to 61 cm2/V⋅s with a lower temperature NO anneal, while the VT increased to 1.4 V. Thus with proper gate engineering, high-mobility normally off MOSFET devices can be obtained, leading to higher-performance gate-controlled power devices.}, number={15}, journal={APPLIED PHYSICS LETTERS}, author={Lichtenwalner, Daniel J. and Misra, Veena and Dhar, Sarit and Ryu, Sei-Hyung and Agarwal, Anant}, year={2009}, month={Oct} } @article{alptekin_kirkpatrick_misra_ozturk_2009, title={Platinum Germanosilicide Contacts Formed on Strained and Relaxed Si1-xGex Layers}, volume={56}, ISSN={["1557-9646"]}, DOI={10.1109/TED.2009.2018159}, abstractNote={Contact resistivity is a key contributor to the parasitic series resistance of nanoscale MOSFETs. Since the contact resistivity is an exponential function of the Schottky barrier height, new contact materials that can provide smaller barrier heights to source-drain junctions are needed. Platinum germanosilicide (PtSi1-xGex) is of interest as a contact material to the recessed Si1-xGex junctions of p-channel MOSFETs due to the large work function of platinum silicide (PtSi). In this paper, we explore the impact of in-plane biaxial compressive strain in Si1-xGex layers on PtSi1-xGex formation and the impact of the PtSi1-xGex on the strain in Si1-xGex. The parameters considered in this paper include the Ge content, the thickness of the Si1-xGex epitaxial layer, and the PtSi1-xGex thickness. The results show that the resistance, surface morphology, and the crystalline structure of the PtSi1-xGex films are independent of the strain in the original Si1-xGex layer. The results also indicate that PtSi1-xGex does not influence the strain in the Si1-xGex layer. The barrier-height measurements suggest the presence of Fermi-level pinning, and the pinning position is independent of the strain in the alloy, and it is primarily determined by the Ge concentration. As a result of Fermi-level pinning, hole Schottky barrier height of PtSi1-xGex-Si1-xGex contacts is 0.1-0.2 eV higher than that of the PtSi-Si contacts.}, number={6}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Alptekin, Emre and Kirkpatrick, Casey Joe and Misra, Veena and Ozturk, Mehmet C.}, year={2009}, month={Jun}, pages={1220–1227} } @article{alptekin_ozturk_misra_2009, title={Schottky Barrier Height of Erbium Silicide on Si1-xCx}, volume={30}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2009.2026297}, abstractNote={In this letter, the Schottky barrier height of erbium silicide contacts formed on Si1-xCx alloys was measured. The alloys were pseudomorphically grown on Si wafers with 0% to 1.2% C occupying the substitutional sites. Schottky barrier diodes were fabricated with an ideality factor of 1.13 or less. The hole barrier height was found to be 0.73 eV independent of the C concentration. This suggests that the electron barrier height should decrease with increasing C concentration due to the reduction in the semiconductor bandgap. For 1.2% C, the electron barrier is estimated to be 0.29 eV.}, number={9}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Alptekin, Emre and Ozturk, Mehmet C. and Misra, Veena}, year={2009}, month={Sep}, pages={949–951} } @article{yun_mueller_hossain_misra_gangopadhyay_2009, title={Sub-2 nm Size-Tunable High-Density Pt Nanoparticle Embedded Nonvolatile Memory}, volume={30}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2009.2033618}, abstractNote={The charge-storage characteristics of a metal-oxide-semiconductor (MOS) structure containing size-tunable sub-2 nm Pt nanoparticles (NPs) between Al2O3 tunneling and capping oxide layers were studied. Significantly different amounts of memory window were obtained with the different sizes of Pt NP embedded MOS structures and reached a maximum of 4.3 V using a 1.14 nm Pt NP, which has the strongest charging capability caused by optimum size and the largest particle density obtained in our deposition method. Satisfactory long-term nonvolatility was attained in a low electric field due to the Coulomb blockade and quantum confinement effects in ~ 1 nm Pt NP. These properties are very promising in view of device application.}, number={12}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Yun, Minseong and Mueller, David W. and Hossain, Maruf and Misra, Veena and Gangopadhyay, Shubhra}, year={2009}, month={Dec}, pages={1362–1364} } @article{suresh_novak_wellenius_misra_muth_2009, title={Transparent indium gallium zinc oxide transistor based floating gate memory with platinum nanoparticles in the gate dielectric}, volume={94}, ISSN={["1077-3118"]}, DOI={10.1063/1.3106629}, abstractNote={A transparent memory device has been developed based on an indium gallium zinc oxide thin film transistor by incorporating platinum nanoparticles in the gate dielectric stack as the charge storage medium. The transfer characteristics of the device show a large clockwise hysteresis due to electron trapping and are attributed to the platinum nanoparticles. Effect of the gate bias stress (program voltage) magnitude, duration, and polarity on the memory window characteristics has been studied. Charge retention measurements were carried out and a loss of less than 25% of the trapped elec-trons was observed over 104 s indicating promising application as nonvolatile memory.}, number={12}, journal={APPLIED PHYSICS LETTERS}, author={Suresh, Arun and Novak, Steven and Wellenius, Patrick and Misra, Veena and Muth, John F.}, year={2009}, month={Mar} } @article{alptekin_ozturk_misra_2009, title={Tuning of the Platinum Silicide Schottky Barrier Height on n-Type Silicon by Sulfur Segregation}, volume={30}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2009.2014182}, abstractNote={The Schottky barrier height PhiB of platinum silicide (PtSi) contacts on n-type silicon was tuned by sulfur segregation at the PtSi/Si interface. Sulfur was implanted prior to Pt deposition and segregated at the interface during PtSi formation. It was observed that the barrier height could be tuned by changing the sulfur dose. A minimum barrier height of 0.12 eV was obtained on n-type (100) Si substrates. Since PtSi naturally provides a small PhiB of 0.2 eV on p-type Si, it carries the potential to serve as the single metal source/drain contact metal in a CMOS integrated circuit with PhiB tuning on n-channel transistors.}, number={4}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Alptekin, Emire and Ozturk, Mehmet C. and Misra, Veena}, year={2009}, month={Apr}, pages={331–333} } @article{suri_lee_lichtenwalner_biswas_misra_2008, title={Electrical characteristics of metal-oxide-semiconductor capacitors on p-GaAs using atomic layer deposition of ultrathin HfAlO gate dielectric}, volume={93}, ISSN={["0003-6951"]}, DOI={10.1063/1.3007978}, abstractNote={Properties of ultrathin HfAlO gate dielectrics on sulfur-passivated p-GaAs were investigated using capacitance-voltage and current-voltage measurement techniques and angle-resolved x-ray photoelectron spectroscopy. By optimizing the individual layer thickness of atomic-layer deposited Al2O3 and HfO2 and the postdeposition anneal (PDA) conditions, a low equivalent oxide thickness of 1.6 nm, low gate leakage of 2.6×10−3 A/cm2 at Vg=Vfb−1 V, and excellent frequency dispersion characteristics were obtained. No interfacial As–O bonding and only a small amount of Ga–O bonding were detected after PDA at 500 °C. These results reveal a good quality dielectric interface on GaAs without an additional interface passivation layer.}, number={19}, journal={APPLIED PHYSICS LETTERS}, author={Suri, Rahul and Lee, Bongmook and Lichtenwalner, Daniel J. and Biswas, Nivedita and Misra, Veena}, year={2008}, month={Nov} } @article{luo_misra_2008, title={Fabrication of large area nano-rings for MRAM application}, volume={85}, ISSN={["0167-9317"]}, DOI={10.1016/j.mee.2008.03.004}, abstractNote={We have developed lateral etch techniques to fabricate large area high density nano-scale magnetic ring arrays by deep ultraviolet lithography. Both centered and de-centered rings have been obtained. The width of the rings are controlled by the lateral etch time, and the inner ring diameter was scaled down below the lithography resolution limit. For de-centered rings, the shift between the center of inner and outer circles was easily adjustable. The characteristics of the ring arrays were characterized by SEM, AFM and SQUID.}, number={7}, journal={MICROELECTRONIC ENGINEERING}, author={Luo, Yong and Misra, Veena}, year={2008}, month={Jul}, pages={1555–1560} } @article{suri_lichtenwalner_misra_2008, title={Impact of elemental arsenic on electrical characteristics of metal-oxide-semiconductor capacitors on GaAs using atomic-layer deposited HfO2 gate dielectric}, volume={92}, ISSN={["1077-3118"]}, DOI={10.1063/1.2949079}, abstractNote={The interface between HfO2 and sulfur-passivated GaAs was analyzed after atomic-layer deposition (ALD) and postdeposition annealing (PDA) using x-ray photoelectron spectroscopy. The HfO2 ALD process resulted in elemental arsenic buildup at the interface. Electrical measurements confirmed that the elemental arsenic caused anomalously large values for equivalent oxide thickness (EOT), hysteresis, and frequency dispersion in accumulation. Arsenic outdiffusion after PDA lowered the EOT but increased the gate leakage. Annealing the (NH4)2S-treated GaAs prior to ALD yielded an EOT of 1.85nm and leakage of 6.6×10−4A∕cm2 at Vg=Vfb−1V. This modified passivation scheme looks promising for achieving a high-quality HfO2∕GaAs interface.}, number={24}, journal={APPLIED PHYSICS LETTERS}, author={Suri, Rahul and Lichtenwalner, Daniel J. and Misra, Veena}, year={2008}, month={Jun} } @article{luo_du_misra_2008, title={Large area nanorings fabricated using an atomic layer deposition Al(2)O(3) spacer for magnetic random access memory application}, volume={19}, ISSN={["0957-4484"]}, DOI={10.1088/0957-4484/19/26/265301}, abstractNote={We have designed a novel atomic layer deposition (ALD) Al2O3 spacer mask technique for fabricating large area high density nanoscale magnetic rings by photolithography for magnetic random access memory applications. A simple mask design and a low temperature ALD process were utilized to simplify the process. Dry etching of Al2O3 and cobalt was investigated for optimizing the nanostructure dimension control. A ring array with density and dimensions below the limits for photolithography tools has been achieved. The magnetic behavior of the ring array was characterized using a SQUID (superconducting quantum interference device). The switching distribution and effects of interaction among ring arrays were studied by correlating simulation with experimental results.}, number={26}, journal={NANOTECHNOLOGY}, author={Luo, Yong and Du, Yan and Misra, Veena}, year={2008}, month={Jul} } @article{sarkar_suresh_myers_muth_misra_2008, title={Modulating indium gallium zinc oxide transistor characteristics with discrete redox states of molecules embedded in the gate dielectric}, volume={92}, ISSN={["0003-6951"]}, DOI={10.1063/1.2918981}, abstractNote={A hybrid inorganic-organic device has been fabricated by incorporating redox active molecules in indium gallium zinc oxide thin film transistors. These devices show a clear modulation of source-drain current characteristics, which is associated with the quantized energy states of the redox active molecules. The molecules show discreet redox peaks in the current characteristics of transistors and a true-molecular-based charge transport has been demonstrated in a completely solid state device.}, number={22}, journal={APPLIED PHYSICS LETTERS}, author={Sarkar, Smita and Suresh, Arun and Myers, Frank B. and Muth, John F. and Misra, Veena}, year={2008}, month={Jun} } @article{chen_lee_sarkar_gowda_misra_2007, title={A molecular memory device formed by HfO2 encapsulation of redox-active molecules}, volume={91}, ISSN={["0003-6951"]}, DOI={10.1063/1.2800824}, abstractNote={Solid state metal-insulator-molecule-metal (MIMM) devices were fabricated by encapsulating a redox-active molecular layer between a metal substrate and a dielectric thin film of atomic layer deposition (ALD) hafnium dioxide (HfO2). Redox properties of molecules are preserved after atomic layer deposition. The leakage current of devices is greatly improved by incorporating the ALD HfO2 thin layer. Capacitance measurements of these MIMM devices show a large frequency dispersion indicating the charging and discharging of the molecular layer.}, number={17}, journal={APPLIED PHYSICS LETTERS}, author={Chen, Zhong and Lee, Bongmook and Sarkar, Smita and Gowda, Srivardhan and Misra, Veena}, year={2007}, month={Oct} } @article{lee_biswas_novak_misra_2007, title={Characteristics of Ni/Gd FUSI for NMOS gate electrode applications}, volume={28}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2007.897889}, abstractNote={This letter investigates the work function tuning of nickel/gadolinium (Ni/Gd) fully silicided (FUSI) gate electrodes on HfSiOx dielectrics. It was found that as the percentage of Gd in the Ni/Gd increased from 10% to 30%, the effective work function value after a one-step 450-degC FUSI anneal decreased from 4.75 to 4.35 eV. In addition, the presence of Gd also resulted in lowering of equivalent oxide thickness (EOT) values. The mechanism for a decreased EOT is attributed to the reduction of low-kappa interfacial layers by the presence of Gd in the gate stack. The decrease in work function is attributed to the creation of oxygen vacancies within the high-kappa layer created by the presence of Gd layer.}, number={7}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Lee, Bongmook and Biswas, Nivedita and Novak, Steven R. and Misra, Veena}, year={2007}, month={Jul}, pages={555–557} } @misc{misra_damiano_2007, title={Crossbar array microelectronic electrochemical cells}, volume={7,253,466}, number={2007 Aug. 7}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Misra, V. and Damiano, J., Jr.}, year={2007} } @misc{bocian_lindsey_liu_yesseri_misra_zhao_li_surthi_loewe_2007, title={High temperature attachment of organic molecules to substrates}, volume={7,223,628}, number={2007 May 29}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Bocian, D. F. and Lindsey, J. and Liu, Z. and Yesseri, A. A. and Misra, V. and Zhao, Q. and Li, Q. and Surthi, S. and Loewe, R. S.}, year={2007} } @article{maitra_frank_narayanan_misra_cartier_2007, title={Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study}, volume={102}, ISSN={["1089-7550"]}, DOI={10.1063/1.2821712}, abstractNote={We report low temperature (40–300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal–oxide–semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.}, number={11}, journal={JOURNAL OF APPLIED PHYSICS}, author={Maitra, Kingsuk and Frank, Martin M. and Narayanan, Vijay and Misra, Veena and Cartier, Eduard A.}, year={2007}, month={Dec} } @misc{misra_bocian_kuhr_lindsey_2007, title={In situ patterning of electrolyte for molecular information storage devices}, volume={7,312,100}, number={2007 Dec. 25}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Misra, V. and Bocian, D. and Kuhr, W. and Lindsey, J.}, year={2007} } @misc{ozturk_misra_chopra_2007, title={Methods of fabricating strained semiconductor-on-insulator field-effect transistors and related devices}, volume={7,211,458}, number={2007 May 1}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Ozturk, M. and Misra, V. and Chopra, S.}, year={2007} } @misc{zhang_misra_bedair_ozturk_2007, title={Optoelectonic devices having arrays of quantum-dot compound semiconductor superlattices therein}, volume={7,265,375}, number={2007 Sept. 4}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Zhang, Z.-B. and Misra, V. and Bedair, S. M. A. and Ozturk, M.}, year={2007} } @article{chopra_ozturk_misra_ren_mcneil_2007, title={The effects of nickel germanosilicide contacts on the biaxial compressive stress in thin epitaxial silicon-germanium alloys on silicon}, volume={91}, ISSN={["1077-3118"]}, DOI={10.1063/1.2795346}, abstractNote={When a thin Si1−xGex epitaxial layer is grown on Si, it is under biaxial compression. In this letter, it is shown that a nickel germanosilicide (NiSi1−xGex) layer formed on Si1−xGex can significantly reduce the in-plane compressive strain in Si1−xGex. It is proposed that the observed reduction is due to the biaxial tensile stress applied by the NiSi1−xGex layer. Because the Si1−xGex bandgap is a strong function of the strain, this is expected to have a strong impact on the metal-semiconductor barrier height and the contact resistivity of the interface if the metal Fermi level is pinned near the Si1−xGex midgap.}, number={14}, journal={APPLIED PHYSICS LETTERS}, author={Chopra, Saurabh and Ozturk, Mehmet C. and Misra, Veena and Ren, Zhongqiao and McNeil, L. E.}, year={2007}, month={Oct} } @article{gowda_mathur_misra_2007, title={Valence band tunneling model for charge transfer of redox-active molecules attached to n- and p-silicon substrates}, volume={90}, ISSN={["0003-6951"]}, DOI={10.1063/1.2720337}, abstractNote={In this work, monolayers of the redox-active molecules, with cationic- accessible states, were incorporated on p- and n-type silicons of varying doping concentrations. The redox voltages and kinetics were found to be strongly dependent on the silicon doping concentrations, and ambient light in case of n-Si substrate, while there was no significant impact of substrate doping concentration or ambient light in case of p-Si substrate. These results suggest the redox energy states in the molecule align within the valence band of the silicon substrate. Based on this, a model for electronic coupling and charge transfer at the molecule-semiconductor interfaces is proposed.}, number={14}, journal={APPLIED PHYSICS LETTERS}, author={Gowda, Srivardhan and Mathur, Guru and Misra, Veena}, year={2007}, month={Apr} } @article{chopra_ozturk_misra_mcguire_mcneil_2006, title={Analysis of boron strain compensation in silicon-germanium alloys by Raman spectroscopy}, volume={88}, ISSN={["1077-3118"]}, DOI={10.1063/1.2205752}, abstractNote={The impact of heavy boron doping on the biaxial compressive strain in Si1−xGex layers grown on Si has been investigated using Raman spectroscopy and theoretical calculations. It is shown that one boron atom is sufficient to compensate the strain due to approximately 6.9 Ge atoms. This effect is appreciably large for boron concentrations as low as 1%, typical for applications, which employ heavily boron doped layers. Using strain compensation, the Ge content can be substantially increased without increasing the stored strain energy. This phenomenon can be useful in applications, which require low-resistivity p-type strained Si1−xGex layers with high Ge content.}, number={20}, journal={APPLIED PHYSICS LETTERS}, author={Chopra, Saurabh and Ozturk, Mehmet C. and Misra, Veena and McGuire, Kris and McNeil, Laurie E.}, year={2006}, month={May} } @article{chopra_ozturk_misra_mcguire_mcneil_2006, title={Critical thickness of heavily boron-doped silicon-germanium alloys}, volume={89}, ISSN={["1077-3118"]}, DOI={10.1063/1.2374870}, abstractNote={In this work, the effect of boron concentration on the critical thickness of heavily boron doped Si1−xGex alloys (Si1−x−yGexBy) has been studied using Raman spectroscopy. The experimental results indicate that while boron decreases the stored strain energy, it can substantially increase the critical thickness for a given Ge concentration. The Si1−x−yGexBy critical thickness was calculated using two different models based on energy balance and kinetic considerations. The results show that the kinetic model provides a good estimate for the Si1−x−yGexBy critical thickness.}, number={20}, journal={APPLIED PHYSICS LETTERS}, author={Chopra, Saurabh and Ozturk, Mehmet C. and Misra, Veena and McGuire, Kris and McNeil, L. E.}, year={2006}, month={Nov} } @article{chen_biswas_misra_2006, title={Electrical and physical analysis of MoTa alloy for gate electrode applications}, volume={153}, ISSN={["1945-7111"]}, DOI={10.1149/1.2180710}, abstractNote={This article presents Mo x Ta v as a potential candidate for dual metal complementary metal oxide semiconductor (CMOS) applications. The electrical characterization results of MoTa alloy indicates that the effective work function can be controlled to around 4.3 eV on SiO 2 and is suitable for n-type MOS gate electrode application. The MoTa alloy forms a solid solution instead of an intermetallic compound. We report that the MoTa solid solution can achieve low work function values and is stable up to 900°C. X-ray diffraction results indicated only a single MoTa alloy phase. X-ray photoelectron spectroscopy analysis confirmed that no Mo-Ta compound bonding formed within the MoTa alloy. Moreover, from Auger electron spectroscopy and Rutherford backscattering spectroscopy analysis, MoTa was found to be stable on SiO 2 under high-temperature anneals and no metal diffusion into substrate Si channel was detected. This indicates that Mo x Ta y is a good candidate for CMOS metal gate applications.}, number={5}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Chen, B and Biswas, N and Misra, V}, year={2006}, pages={G417–G419} } @article{lichtenwalner_jur_jha_inoue_chen_misra_kingon_2006, title={High-temperature stability of lanthanum silicate gate dielectric MIS devices with Ta and TaN electrodes}, volume={153}, ISSN={["1945-7111"]}, DOI={10.1149/1.2218757}, abstractNote={The high-temperature stability of lanthanum silicate gate dielectric metal-insulator-semiconductor (MIS) devices with either Ta or TaN electrodes has been studied. After a 1000°C, 10 s rapid thermal annealing (RTA) treatment, devices with Ta gate metal undergo an equivalent oxide thickness (EOT) increase from 0.62 to 1.57 nm or higher, while devices with TaN as the gate electrode experience an EOT increase from 0.62 to only 1.12 nm. An EOT less than 1.0 nm is achieved after a 5 s 1000°C RTA, with a corresponding gate leakage of 0.1 A/cm 2 . Medium-energy ion scattering and X-ray diffraction (XRD) analysis reveal that the Ta gate metal undergoes a phase change due to reaction with N 2 above 800°C, while for TaN no change in the XRD spectrum is detected. Interface state defect densities and leakage currents are reduced after the high-temperature processing. Results reveal the importance of the entire gate stack design and processing in obtaining good device properties.}, number={9}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Lichtenwalner, Daniel J. and Jur, Jesse S. and Jha, Rashmi and Inoue, Naoya and Chen, Bei and Misra, Veena and Kingon, Angus I.}, year={2006}, pages={F210–F214} } @article{gowda_mathur_li_surthi_misra_2006, title={Hybrid silicon/molecular FETs: A study of the interaction of redox-active molecules with silicon MOSFETs}, volume={5}, ISSN={["1941-0085"]}, DOI={10.1109/TNANO.2006.874046}, abstractNote={Redox-active molecular monolayers were incorporated in silicon MOSFETs to obtain hybrid silicon/molecular FETs. Cyclic voltammetry and FET characterization techniques were used to study the properties of these hybrid devices. The redox-active molecules have tunable charge states, which are quantized at room temperature and can be accessed at relatively low voltages. The discrete molecular states were manifested in the drain current and threshold voltage characteristics of the device, confirming the presence of distinct energy levels within the molecules at room temperature. This study demonstrates the modulation of Si-MOSFETs' drain currents via redox-active molecular monolayers. The single-electron functionality provided by the redox-active molecules is ultimately scalable to molecular dimensions, and this approach can be extended to nanoscale field-effect devices including those based on carbon nanotubes. The molecular states coupled with CMOS devices can be utilized for low-voltage, multiple-state memory and logic applications and can extend the impact of silicon-based technologies.}, number={3}, journal={IEEE TRANSACTIONS ON NANOTECHNOLOGY}, author={Gowda, Srivardhan and Mathur, Guru and Li, Qiliang and Surthi, Shyam and Misra, Veena}, year={2006}, month={May}, pages={258–264} } @article{chen_jha_lazar_biswas_lee_lee_wielunski_garfunkel_misra_2006, title={Influence of oxygen diffusion through capping layers of low work function metal gate electrodes}, volume={27}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2006.871184}, abstractNote={This letter evaluates Ru and W capping layers for MoTa metal gate electrodes in MOS capacitor applications. The authors report that the oxygen diffusion from the capping layer plays an important role in determining the MoTa alloy effective work function value on SiO/sub 2/. A MoTa alloy metal gate with Ru capping exhibits stable effective work function up to 900/spl deg/C annealing but is not stable with W capping. Auger electron spectroscopy and Rutherford backscattering spectroscopy analyses show minimal oxygen diffusion into MoTa gate stacks with Ru capping while severe oxygen diffusion into the gate is observed with W capping metal after 900/spl deg/C annealing. Current-voltage analysis also demonstrates different barrier heights of MoTa on SiO/sub 2/ with Ru or W capping layer after 900/spl deg/C annealing, confirming the effective work function value change.}, number={4}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Chen, B and Jha, R and Lazar, H and Biswas, N and Lee, J and Lee, B and Wielunski, L and Garfunkel, E and Misra, V}, year={2006}, month={Apr}, pages={228–230} } @article{luo_misra_2006, title={Large-area long-range ordered anisotropic magnetic nanostructure fabrication by photolithography}, volume={17}, ISSN={["0957-4484"]}, DOI={10.1088/0957-4484/17/19/021}, abstractNote={In-plane anisotropic nanostructures have been fabricated using deep ultraviolet (UV) lithography. Dimensions from over 100 nm down to 50 nm with periods of 300 nm for a diamond shape and 159 nm for a triangular shape can be obtained using one mask by the over-exposure technique. Patterns transferred to a substrate to create magnetic films containing dots and antidots have been demonstrated. Hysteresis loop measurements proved higher coercivity for patterned films compared with continuous film.}, number={19}, journal={NANOTECHNOLOGY}, author={Luo, Yong and Misra, Veena}, year={2006}, month={Oct}, pages={4909–4911} } @article{chen_jha_misra_2006, title={Work function tuning via interface dipole by ultrathin reaction layers using AlTa and AlTaN alloys}, volume={27}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2006.880643}, abstractNote={This letter presents a route for tuning the metal gate effective work function via interface dipoles formed using AlTa and AlTaN alloys. It was found that the AlTa alloy has a higher effective work function (4.45 eV) compared to either Al (~ 4.1 eV) or Ta (4.2 eV) gates on SiO 2 at 400 degC. This increase in effective work function was attributed to interface dipoles formed at the gate electrode and dielectric interface. The origin of this dipole is attributed to a reaction between the AlTa alloy and the dielectric layer. Similar AlTa effective work function tuning was also observed on high-k dielectrics. However, since the AlTa alloy is not thermally stable on SiO2, nitrogen was added to stabilize the electrode. The addition of N stabilizes the equivalent oxide thickness while still allowing for work function tuning under high temperatures. AlTaN alloys were deposited by reactive sputtering and resulted in an effective work function of ~ 5.1 eV after a 1000 degC anneal, making them suitable for PMOS gate applications}, number={9}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Chen, Bei and Jha, Rashmi and Misra, Veena}, year={2006}, month={Sep}, pages={731–733} } @article{gowda_mathur_li_surthi_misra_2005, title={Approach for investigating lateral conduction in self-assembled monolayers}, volume={87}, ISSN={["1077-3118"]}, DOI={10.1063/1.2152112}, abstractNote={Lateral conductivity within a monolayer is a key factor in the implementation of emerging dense molecular memory devices since it determines the degree of cross talk between cells. Lateral interactions within a monolayer could also lead to loss of charge through defective sites. Existing characterization techniques are limited to probing the electrical communication between molecules and attached electrodes. In this paper we demonstrate a test structure consisting of n type and p type doped silicon islands to isolate vertical conduction from lateral conduction. This structure is a useful characterization tool for tailoring the intrinsic properties of the molecules for information storage.}, number={26}, journal={APPLIED PHYSICS LETTERS}, author={Gowda, S and Mathur, G and Li, Q and Surthi, S and Misra, V}, year={2005}, month={Dec} } @article{suh_lazar_chen_lee_misra_2005, title={Electrical characteristics of HfO2 dielectrics with Ru metal gate electrodes}, volume={152}, ISSN={["1945-7111"]}, DOI={10.1149/1.1992467}, abstractNote={Hafnium dioxide, HfO 2 , thin films were prepared by radio frequency magnetron sputtering of thin hafnium layers, followed by an oxidation process. Ru was deposited on the HfO 2 as the gate electrode. An equivalent oxide thickness of 12.5 A was obtained in Ru/HfO 2 /n-Si metal oxide semiconductor (MOS) capacitor with a low leakage current density of 1.7 × 10 - 2 A/cm 2 at Vg - V F B = 1 V in accumulation. The work function of Ru gate extracted from capacitance-voltage analysis was 5.02 eV, suggesting Ru has the appropriate work function for p-MOSFETs. Using the conductance method, a high interface state density of 1.3 X 10 1 3 eV - 1 cm - 2 from the conduction band edge to the near midgap of Si was obtained in Ru/HfO 2 /n-Si MOS, compared to low interface density level of ∼ 10 1 1 eV - 1 cm - 2 in p + poly Si/SiO 2 /n-Si MOS. To evaluate the thermal stability, the samples were subjected to a rapid thermal anneal in an argon ambient up to 900°C. The electrical characteristics of Ru/HfO 2 /n-Si MOS capacitor are discussed in detail with post-metal annealing temperatures.}, number={9}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Suh, YS and Lazar, H and Chen, B and Lee, JH and Misra, V}, year={2005}, pages={F138–F141} } @article{biswas_gurganus_misra_yang_stemmer_2005, title={Evaluation of nickel and molybdenum silicides for dual gate complementary metal-oxide semiconductor application}, volume={86}, ISSN={["1077-3118"]}, DOI={10.1063/1.1849850}, abstractNote={Characteristics of NiSi and MoSi via full consumption of undoped silicon layers have been studied. Interaction of nickel (Ni) and molybdenum (Mo) silicides with SiO2 was evaluated in terms of work function and thermal stability. For nickel silicide, the work function values were low for samples annealed at 400 °C even after full consumption of silicon. The work function increased with the anneal temperature and stabilized at 600 °C to close to midgap values. Dielectric interaction as a result of silicide formation was studied using current–voltage characteristics. Low leakage currents in these stacks indicated minimum dielectric damage due to silicided gates. Silicidation of Mo was found to be incomplete as the capacitance–voltage curves were marked with larger EOT values and negative shifts in the flatband voltages even at 700 °C. Auger depth profiling, high resolution transmission electron microscopy (HRTEM) and x-ray diffraction (XRD) were used for material analysis of the silicided gate stacks.}, number={2}, journal={APPLIED PHYSICS LETTERS}, author={Biswas, N and Gurganus, J and Misra, V and Yang, Y and Stemmer, S}, year={2005}, month={Jan} } @misc{misra_zhong_hong_2005, title={High/low work function metal alloys for integrated circuit electrodes}, volume={6,873,020}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Misra, V. and Zhong, H. and Hong, S.}, year={2005} } @misc{misra_gowda_mathur_2005, title={Hybrid molecular memory devices and methods of use thereof}, volume={6,958,485}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Misra, V. and Gowda, S. and Mathur, G.}, year={2005} } @article{lin_ozturk_chen_rhee_lee_misra_2005, title={Impact of Ge on integration of HfO2 and metal gate electrodes on strained Si channels}, volume={87}, ISSN={["1077-3118"]}, DOI={10.1063/1.2009809}, abstractNote={Tensile-strained Si epitaxial layers (7.5nm–17nm) were grown on relaxed Si0.5Ge0.5 virtual substrates by ultrahigh-vacuum rapid thermal chemical vapor deposition. Metal-oxide-silicon capacitors were fabricated with SiO2 or HfO2 as gate dielectrics and Ru–Ta alloy or TaN as the metal gate electrodes. The results indicate that the interface trap density (Dit) increased as the strained silicon thickness decreased, which was attributed to the presence of Ge in the strained Si layer. Higher Dit was observed with SiO2 which may be due to Si consumption during oxidation, leading to a higher density of Ge at the interface. Leakage current density (Jg) was also observed to increase with increasing strained silicon thickness. This trend of increasing Dit and Jg with decreasing strained silicon thickness did not change after rapid thermal annealing. Both Ru–Ta and TaN gate electrodes were found to exhibit as good a performance on strained Si as on bulk Si.}, number={7}, journal={APPLIED PHYSICS LETTERS}, author={Lin, YX and Ozturk, MC and Chen, B and Rhee, SJ and Lee, JC and Misra, V}, year={2005}, month={Aug} } @article{jha_lee_majhi_misra_2005, title={Investigation of work function tuning using multiple layer metal gate electrodes stacks for complementary metal-oxide-semiconductor applications}, volume={87}, ISSN={["1077-3118"]}, DOI={10.1063/1.2136425}, abstractNote={Metal gate electrodes consisting of three layered stacks of metals are investigated for complementary metal-oxide-semiconductor device applications. It was observed that the effective work function of the entire gate electrode stack was dominated by the work function of the first metal layer (50Å of tantalum nitride) contacting the gate dielectric. No significant difference in the effective oxide thickness was observed in devices with and without the initial tantalum nitride layer. The potential reasons for this, based on the penetration of an electron wave function from the gate electrode to the gate dielectric and gate depletion due to longer Debye length of electrons in tantalum nitride, will be discussed.}, number={22}, journal={APPLIED PHYSICS LETTERS}, author={Jha, R and Lee, J and Majhi, P and Misra, V}, year={2005}, month={Nov} } @misc{methods of fabricating crossbar array microelectronic electrochemical cells_2005, volume={6,958,270}, publisher={Washington, DC: U.S. Patent and Trademark Office}, year={2005} } @misc{zhang_misra_bedair_ozturk_2005, title={Optoelectronic devices having arrays of quantum-dot compound semiconductor superlattices therein}, volume={6,914,256}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Zhang, Z. and Misra, V. and Bedair, S. M. A. and Ozturk, M.}, year={2005} } @article{chen_suh_lee_gurganus_misra_cabral_2005, title={Physical and electrical analysis of RuxYy alloys for gate electrode applications}, volume={86}, ISSN={["1077-3118"]}, DOI={10.1063/1.1857093}, abstractNote={This letter describes RuxYy as a potential candidate for dual metal complementary metal–oxide–semiconductor applications. The characterization of RuY alloys indicate that the effective work function can be controlled from 3.9to5.0eV as the yttrium composition in the RuxYy is decreased in film for both PMOS and NMOS application. From x-ray photoelectron spectroscopy analysis, it was found that the Ru3d peaks do not change as the Y composition is changed, indicating the Ru–Y bonding is very weak or undetectable in RuxYy film. However, it was also found that Y reacts with the underlying SiO2 to form yttrium silicate. In addition, in situ x-ray diffraction results did not detect the presence of Ru–Y compound in the RuxYy films. Capacitance–voltage (C–V) characterization indicated that the oxide thickness decreased as the Y composition increased. We extracted the effective barrier height of RuxYy at the metal–oxide interface via Fowler–Nordheim current analysis. The barrier height decreases as the Y compositio...}, number={5}, journal={APPLIED PHYSICS LETTERS}, author={Chen, B and Suh, Y and Lee, J and Gurganus, J and Misra, V and Cabral, C}, year={2005}, month={Jan} } @article{lee_kim_hong_zhong_chen_misra_2005, title={Properties of Ta-Mo alloy gate electrode for n-MOSFET}, volume={40}, ISSN={["1573-4803"]}, DOI={10.1007/s10853-005-2108-3}, number={9-10}, journal={JOURNAL OF MATERIALS SCIENCE}, author={Lee, CK and Kim, JY and Hong, SN and Zhong, HC and Chen, B and Misra, V}, year={2005}, month={May}, pages={2693–2695} } @article{mathur_gowda_li_surthi_zhao_misra_2005, title={Properties of functionalized redox-active monolayers on thin silicon dioxide - A study of the. dependence of retention time on oxide thickness}, volume={4}, ISSN={["1941-0085"]}, DOI={10.1109/TNANO.2004.842056}, abstractNote={Self-assembled monolayers of redox-active molecules were formed on varying thickness of silicon dioxide (SiO/sub 2/). Cyclic voltammetry (CyV) and impedance spectroscopy (capacitance-voltage and conductance-voltage) techniques were used to characterize these structures. The charge retention properties of these molecule-oxide-silicon capacitor structures were studied by applying oxidizing voltages in two successive CyV scans without applying a reducing voltage in between the two scans. A variation of this technique, wherein a reducing voltage is applied in the second scan, was also employed. The wait time between the two scans was varied from 0 to 300 s. The number of molecules oxidized (or reduced) in the second scan increased (or decreased) with increasing wait time, which is attributed to increasing charge leakage with increasing time. The retention properties of these structures were studied and correlated to increasing oxide thickness. It was observed that the retention times increased with increasing oxide thickness if the voltage applied during the wait time was in between the oxidation and reduction peak voltages. The molecular scalability and ability to tune the retention times by varying the oxide thickness make these Si/molecular hybrid devices attractive candidates for next-generation memory applications.}, number={2}, journal={IEEE TRANSACTIONS ON NANOTECHNOLOGY}, author={Mathur, G and Gowda, S and Li, QL and Surthi, S and Zhao, Q and Misra, V}, year={2005}, month={Mar}, pages={278–283} } @article{zhao_luo_surthi_li_mathur_gowda_larson_johnson_misra_2005, title={Redox-active monolayers on nano-scale silicon electrodes}, volume={16}, ISSN={["1361-6528"]}, DOI={10.1088/0957-4484/16/2/013}, abstractNote={Uniform arrays of nano-scale electrolyte–molecule–silicon capacitors have been successfully fabricated. This was done by a combination of reactive ion etch and a selective wet etch through an anodic aluminium oxide mask to form nano-holes in silicon oxide/silicon nitride insulator layers on silicon. Self-assembled monolayers of 4-ferrocenylbenzyl alcohol were then attached to the exposed silicon surfaces at the bottom of the nano-holes. Characterization by conventional capacitance and conductance techniques showed very high capacitance and conductance peaks near −0.6 V, that were attributed to the charging and discharging of electrons into and from discrete levels in the monolayer owing to the presence of the redox-active ferrocenes.}, number={2}, journal={NANOTECHNOLOGY}, author={Zhao, Q and Luo, Y and Surthi, S and Li, QL and Mathur, G and Gowda, S and Larson, PR and Johnson, MB and Misra, V}, year={2005}, month={Feb}, pages={257–261} } @article{liu_schmidt_thamyongkit_loewe_syomin_diers_zhao_misra_lindsey_bocian_2005, title={Synthesis and Film-Forming Properties of Ethynylporphyrins}, volume={17}, ISSN={0897-4756 1520-5002}, url={http://dx.doi.org/10.1021/cm047858y}, DOI={10.1021/cm047858y}, abstractNote={Thermal treatment of ethynyl porphyrin monomers on a surface has been found to yield robust porphyrin films. The scope of this in situ polymerization has been surveyed by the synthesis and characterization of a collection of 20 zinc porphyrins bearing diverse patterns of 1−4 ethyne (or protected ethyne) groups and a variety of nonlinking substituents. Films have been prepared on Si(100), SiO2, Au(111), and glass. The films prepared on Si(100) have been examined by electrochemical methods, which indicate that surface coverages 50-fold greater than those of saturation-coverage monolayers are achievable, although the coverage varies appreciably (10-fold) among the survey group of molecules under a controlled set of film-forming conditions. Variation in these conditions affords control over the number of layers in the film (from a few to tens or more). The electrochemical characteristics of the multilayer films further indicate that the redox thermodynamics are of comparable homogeneity to those of monolayers...}, number={14}, journal={Chemistry of Materials}, publisher={American Chemical Society (ACS)}, author={Liu, Zhiming and Schmidt, Izabela and Thamyongkit, Patchanita and Loewe, Robert S. and Syomin, Dennis and Diers, James R. and Zhao, Qian and Misra, Veena and Lindsey, Jonathan S. and Bocian, David F.}, year={2005}, month={Jul}, pages={3728–3742} } @article{biswas_gurganus_misra_2005, title={Work function tuning of nickel silicide by co-sputtering nickel and silicon}, volume={87}, ISSN={["1077-3118"]}, DOI={10.1063/1.2115072}, abstractNote={Co-sputtered nickel silicide films were evaluated on thin layers of SiO2 gate dielectrics. Work function values ranging from 4.86eV for Ni rich films to 4.3eV were observed at 400°C and were found to be a strong function of the Ni and Si ratio in the films. Phase analysis indicated the presence of different phases of NixSiy for varying concentrations of Ni and Si. High-temperature characteristics, leakage, and change in equivalent oxide thickness values were also evaluated for selected conditions. Rutherford backscattering, x-ray diffraction, Auger electron spectroscopy and high-resolution transmission electron microscopy were used for material analyses.}, number={17}, journal={APPLIED PHYSICS LETTERS}, author={Biswas, N and Gurganus, J and Misra, V}, year={2005}, month={Oct} } @article{jha_gurganos_kim_choi_lee_misra_2004, title={A capacitance-based methodology for work function extraction of metals on high-kappa}, volume={25}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2004.829032}, abstractNote={This letter presents a methodology to accurately extract the work function of metal electrodes on high-/spl kappa/ dielectrics with various charge distributions. A mathematical analysis including sources of errors was used to study the effect of charge distribution in gate dielectric stacks on the flatband voltage of the device. The calculations are verified by experimental results obtained for Ru-Ta alloys on HfO/sub 2/ and SiO/sub 2/ gate dielectric stacks. It is shown that accounting for the appropriate charge model is imperative for accurate calculation of workfunction on high-/spl kappa//SiO/sub 2/ gate dielectric stacks.}, number={6}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Jha, R and Gurganos, J and Kim, YH and Choi, R and Lee, J and Misra, V}, year={2004}, month={Jun}, pages={420–423} } @article{suh_heuss_misra_2004, title={Characteristics of TaSixNy thin films as gate electrodes for dual gate Si-complementary metal-oxide-semiconductor devices}, volume={22}, ISSN={["2166-2746"]}, DOI={10.1116/1.1640398}, abstractNote={Reactively sputtered TaSixNy films have been investigated as gate electrodes for dual gate Si-complementary metal-oxide-semiconductor devices. The as-deposited TaSixNy films were amorphous over a wide range of compositions. After annealing at 1000 °C, Ta30Si33N37 film became crystalline, however Ta26Si28N52 film remained amorphous. The x-ray photoelectron spectroscopy shows a significant increase of Si–N bonding in the TaSixNy films with increasing N content. The presence of Si–N bonds is attributed to cause the amorphous nature of the high N containing TaSixNy films. The work functions of TaSixNy films were extracted by capacitance–voltage analysis. The work function values for TaSixNy films with varying N contents range from 4.26 to 4.35 eV after forming gas annealing at 400 °C for 30 min, suggesting that TaSixNy films have work functions appropriate for n-type metal-oxide-semiconductor devices. However, it was observed, after 1000 °C anneals, that the work function of TaSixNy films increased to ∼4.8 eV. We believe that the mechanism that causes the work function to increase is the formation of a Ta-disilicide layer at the interface of the electrode and the dielectric. Current–voltage characteristics of the TaSixNy gates showed lower gate leakage compared to the TaSix gates, due to the retarding formation of an interface layer in the TaSixNy/SiO2/p-Si structures.}, number={1}, journal={JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B}, author={Suh, YS and Heuss, G and Misra, V}, year={2004}, pages={175–179} } @misc{misra_bocian_kuhr_lindsey_2004, title={Method and system for molecular charge storage field effect transistor}, volume={6,674,121}, number={2004 Jan. 6}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Misra, V. and Bocian, D. and Kuhr, W. and Lindsey, J.}, year={2004} } @misc{zhang_misra_bedair_ozturk_2004, title={Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates}, volume={6,709,929}, number={2004 Mar. 23}, publisher={Washington, DC: U.S. Patent and Trademark Office}, author={Zhang, Z. and Misra, V. and Bedair, S. M. A. and Ozturk, M.}, year={2004} } @article{li_mathur_gowda_surthi_zhao_yu_lindsey_bocian_misra_2004, title={Multibit memory using self-assembly of mixed ferrocene/porphyrin monolayers on silicon}, volume={16}, ISSN={["1521-4095"]}, DOI={10.1002/adma.200305680}, abstractNote={An alternative strategy for achieving multi‐bit functionality, which uses mixed self‐assembled monolayers of a benzyl alcohol‐tethered ferrocene (Fc‐BzOH) and a benzyl alcohol‐tethered porphyrin (Por‐BzOH) on silicon surfaces to achieve a four‐state (2‐bit) memory element, is presented. The four states include the neutral state and three distinct cationic states obtained upon oxidation of Fc‐BzOH (monopositive) and Por‐BzOH (monopositive, dipositive) molecules. Conventional cyclic voltammetry, capacitance, and conductance methods have been used to characterize the mixed monolayer.}, number={2}, journal={ADVANCED MATERIALS}, author={Li, QL and Mathur, G and Gowda, S and Surthi, S and Zhao, Q and Yu, LH and Lindsey, JS and Bocian, DF and Misra, V}, year={2004}, month={Jan}, pages={133-+} } @article{li_surthi_mathur_gowda_zhao_sorenson_tenent_muthukumaran_lindsey_misra_2004, title={Multiple-bit storage properties of porphyrin monolayers on SiO2}, volume={85}, ISSN={["1077-3118"]}, DOI={10.1063/1.1782254}, abstractNote={Hybrid molecule-silicon capacitors have been fabricated by the self-assembly of a monolayer of porphyrin molecules on a silicon oxide surface. The porphyrin employed [5-(4-dihydroxyphosphorylphenyl)-10,15,20-trimesitylporphinatozinc(II)] attaches to silicon oxide via a phosphonate linkage. Cyclic voltammetry current and capacitance/conductance measurements have been used to characterize the capacitors. The presence of multiple distinct peaks in current density and capacitance/conductance measurements are associated with oxidation and reduction of the molecular monolayer. The charge-storage states of the capacitor indicate applicability for use in multiple-bit memory devices.}, number={10}, journal={APPLIED PHYSICS LETTERS}, author={Li, QL and Surthi, S and Mathur, G and Gowda, S and Zhao, Q and Sorenson, TA and Tenent, RC and Muthukumaran, K and Lindsey, JS and Misra, V}, year={2004}, month={Sep}, pages={1829–1831} } @article{carcel_laha_loewe_thamyongkit_schweikart_misra_bocian_lindsey_2004, title={Porphyrin architectures tailored for studies of molecular information storage}, volume={69}, ISSN={["0022-3263"]}, DOI={10.1021/jo0498260}, abstractNote={A molecular approach to information storage employs redox-active molecules tethered to an electroactive surface. Zinc porphyrins tethered to Au(111) or Si(100) provide a benchmark for studies of information storage. Three sets of porphyrins have been synthesized for studies of the interplay of molecular design and charge-storage properties: (1) A set of porphyrins is described for probing the effect of surface attachment atom on electron-transfer kinetics. Each porphyrin bears a meso-CH2X group for surface attachment where X = OH, SAc, or SeAc. (2) A set of porphyrins is described for studying the effect of surface-charge density in monolayers. Each porphyrin bears a benzyl alcohol for surface attachment and three nonlinking meso substituents of a controlled degree of bulkiness. (3) A set of porphyrins is described that enables investigation of on-chip patterning of the electrolyte. Each porphyrin bears a formyl group distal to the surface attachment group for subsequent derivatization with a molecular entity that comprises the electrolyte. Taken together, this collection of molecules enables a variety of studies to elucidate design issues in molecular-based information storage.}, number={20}, journal={JOURNAL OF ORGANIC CHEMISTRY}, author={Carcel, CM and Laha, JK and Loewe, RS and Thamyongkit, P and Schweikart, KH and Misra, V and Bocian, DF and Lindsey, JS}, year={2004}, month={Oct}, pages={6739–6750} } @article{muthukumaran_loewe_ambroise_tamaru_li_mathur_bocian_misra_lindsey_2004, title={Porphyrins bearing arylphosphonic acid tethers for attachment to oxide surfaces}, volume={69}, ISSN={["0022-3263"]}, DOI={10.1021/jo034945l}, abstractNote={Synthetic molecules bearing phosphonic acid groups can be readily attached to oxide surfaces. As part of a program in molecular-based information storage, we have developed routes for the synthesis of diverse porphyrinic compounds bearing phenylphosphonic acid tethers. The routes enable (1) incorporation of masked phosphonic acid groups in precursors for use in the rational synthesis of porphyrinic compounds and (2) derivatization of porphyrins with masked phosphonic acid groups. The precursors include dipyrromethanes, monoacyldipyrromethanes, and diacyldipyrromethanes. The tert-butyl group has been used to mask the dihydroxyphosphoryl substituent. The di-tert-butyloxyphosphoryl unit is stable to the range of conditions employed in syntheses of porphyrins and multiporphyrin arrays yet can be deprotected under mild conditions (TMS-Cl/TEA or TMS-Br/TEA in refluxing CHCl(3)) that do not cause demetalation of zinc or magnesium porphyrins. The porphyrinic compounds that have been prepared include (1) A(3)B-, trans-AB(2)C-, and ABCD-porphyrins that bear a single phenylphosphonic acid group, (2) a trans-A(2)B(2)-porphyrin bearing two phenylphosphonic acid groups, (3) a chlorin that bears a single phenylphosphonic acid group, and (4) a porphyrin dyad bearing a single phenylphosphonic acid group. For selected porphyrin-phosphonic acids, the electrochemical characteristics have been investigated for molecules tethered to SiO(2) surfaces grown on doped Si. The voltammetric behavior indicates that the porphyrin-phosphonic acids form robust, electrically well-behaved monolayers on the oxide surface.}, number={5}, journal={JOURNAL OF ORGANIC CHEMISTRY}, author={Muthukumaran, K and Loewe, RS and Ambroise, A and Tamaru, SI and Li, QL and Mathur, G and Bocian, DF and Misra, V and Lindsey, JS}, year={2004}, month={Mar}, pages={1444–1452} } @article{loewe_ambroise_muthukumaran_padmaja_lysenko_mathur_li_bocian_misra_lindsey_2004, title={Porphyrins bearing mono or tripodal benzylphosphonic acid tethers for attachment to oxide surfaces}, volume={69}, ISSN={["1520-6904"]}, DOI={10.1021/jo034946d}, abstractNote={The ability to attach redox-active molecules to oxide surfaces in controlled architectures (distance, orientation, packing density) is essential for the design of a variety of molecular-based information storage devices. We describe the synthesis of a series of redox-active molecules wherein each molecule bears a benzylphosphonic acid tether. The redox-active molecules include zinc porphyrins, a cobalt porphyrin, and a ferrocene-zinc porphyrin. An analogous tripodal tether has been prepared that is based on a tris[4-(dihydroxyphosphorylmethyl)phenyl]-derivatized methane. A zinc porphyrin is linked to the methane vertex by a 1,4-phenylene unit. The tripodal systems are designed to improve monolayer stability and ensure vertical orientation of the redox-active porphyrin on the electroactive surface. For comparison purposes, a zinc porphyrin bearing a hexylphosphonic acid tether also has been prepared. The synthetic approaches for introduction of the phosphonic acid group include derivatization of a bromoalkyl porphyrin or use of a dimethyl or diethyl phosphonate substituted precursor in a porphyrin-forming reaction. The latter approach makes use of dipyrromethane building blocks bearing mono or tripodal dialkyl phosphonate groups. The zinc porphyrin-tripodal compound bearing benzylphosphonic acid legs tethered to a SiO(2) surface (grown on doped Si) was electrically well-behaved and exhibited characteristic porphyrin oxidation/reduction waves. Collectively, a variety of porphyrinic molecules can now be prepared with tethers of different length, composition, and structure (mono or tripodal) for studies of molecular-based information storage on oxide surfaces.}, number={5}, journal={JOURNAL OF ORGANIC CHEMISTRY}, author={Loewe, RS and Ambroise, A and Muthukumaran, K and Padmaja, K and Lysenko, AB and Mathur, G and Li, QL and Bocian, DF and Misra, V and Lindsey, JS}, year={2004}, month={Mar}, pages={1453–1460} } @article{kim_choi_jha_lee_misra_lee_2004, title={Reliability of high-k dielectrics and its dependence on gate electrode and interfacial high-k bi-layer structure}, volume={44}, ISSN={["0026-2714"]}, DOI={10.1016/j.microrel.2004.07.049}, abstractNote={In this work, we present the reliability of HfO 2 and how it depends on the barrier height and the nature of the bi-layer structure. We will also discuss how these factors lead to different charge fluence, charge-to-breakdown, and breakdown characteristics. It is found that the lower Weibull slope of high-k dielectrics is partially attributed to high charge fluence by the lower barrier height of high-k dielectrics, and a different nature of bi-layer structure. In addition, it has been found that there is distinct bi-modal defect generation rate for high-k/SiO 2 stack. A two-step breakdown process was clearly observed. Soft breakdown characteristics were dependent on the barrier heights. It is attributed to different charge fluence by different barrier heights. Charge-to-breakdown shows strong barrier height dependence.}, number={9-11}, journal={MICROELECTRONICS RELIABILITY}, author={Kim, YH and Choi, R and Jha, R and Lee, JH and Misra, V and Lee, JC}, year={2004}, pages={1513–1518} } @article{chen_misra_haggerty_stemmer_2004, title={Stability of Ru- and Ta-based metal gate electrodes in contact with dielectrics for Si-CMOS}, volume={241}, ISSN={["1521-3951"]}, DOI={10.1002/pssb.200404933}, abstractNote={Abstract}, number={10}, journal={PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS}, author={Chen, ZQ and Misra, V and Haggerty, RP and Stemmer, S}, year={2004}, month={Aug}, pages={2253–2267} } @article{liu_yasseri_loewe_lysenko_malinovskii_zhao_surthi_li_misra_lindsey_et al._2004, title={Synthesis of porphyrins bearing hydrocarbon tethers and facile covalent attachment to Si(100)}, volume={69}, ISSN={["0022-3263"]}, DOI={10.1021/jo049439q}, abstractNote={The use of redox-active molecules as the active storage elements in memory chips requires the ability to attach the molecules to an electroactive surface in a reliable and robust manner. To explore the use of porphyrins tethered to silicon via carbosilane linkages, 17 porphyrins have been synthesized. Fourteen porphyrins bear a tether at a single meso site, and three porphyrins bear functional groups at two beta sites for possible two-point attachment. Two high-temperature processing methods (400 degrees C under inert atmosphere) have been developed for rapid (minutes), facile covalent attachment to Si platforms. The high-temperature processing conditions afford attachment either by direct deposition of a dilute solution (1 microM-1 mM) of the porphyrin sample onto the Si substrate or sublimation of a neat sample onto the Si substrate. The availability of this diverse collection of porphyrins enables an in-depth examination of the effects of the tether (length, composition, terminal functional group, number of tethers) and steric bulk of nonlinking substituents on the information-storage properties of the porphyrin monolayers obtained upon attachment to silicon. Attachment proceeds readily with a wide variety of hydrocarbon tethers, including 2-(trimethylsilyl)ethynyl, vinyl, allyl, or 3-butenyl directly appended to the porphyrin and iodo, bromomethyl, 2-(trimethylsilyl)ethynyl, ethynyl, vinyl, or allyl appended to the 4-position of a meso-phenyl ring. No attachment occurs with substituents such as phenyl, p-tolyl, mesityl, or ethyl. Collectively, the studies show that the high-temperature attachment procedure (1) has broad scope encompassing diverse functional groups, (2) tolerates a variety of arene substituents, and (3) does not afford indiscriminate attachment. The high-temperature processing conditions are ideally suited for use in fabrication of hybrid molecular/semiconductor circuitry.}, number={17}, journal={JOURNAL OF ORGANIC CHEMISTRY}, author={Liu, ZM and Yasseri, AA and Loewe, RS and Lysenko, AB and Malinovskii, VL and Zhao, Q and Surthi, S and Li, QL and Misra, V and Lindsey, JS and et al.}, year={2004}, month={Aug}, pages={5568–5577} } @article{maitra_misra_2003, title={A simulation study to evaluate the feasibility of midgap workfunction metal gates in 25 nm bulk CMOS}, volume={24}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2003.819267}, abstractNote={The performance of 25 nm metallurgical channel length bulk MOSFETs with midgap workfunction metal gates has been compared with conventional polysilicon gates and bandedge workfunction metal gates. Device design using pocket halo implants was implemented to achieve the required off-state leakage specification. Highly accurate, full device simulations have been performed with a linear chain of inverters taking quantum effects into consideration. Drain induced barrier lowering (DIBL) was used as an indicator of short channel effects, and the stage delay of a linear chain of inverters and the on state drive current (I/sub on/) have been identified as metrics for performance. Compared to bandedge metal gates, midgap gates suffer from lower drive currents for both NMOS and PMOS devices. On the other hand, midgap devices were comparable in their performance to N/sup +/ polysilicon gated devices and exceeded that of P/sup +/ polysilicon devices. This high performance was attributed to a lack of poly depletion in midgap metal devices and a higher degree of DIBL which resulted in a lower V/sub t/ under high drain bias providing high drive current. Conclusions have been drawn on the feasibility of using midgap metal gates to simplify process integration in future generation CMOS devices.}, number={11}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Maitra, K and Misra, V}, year={2003}, month={Nov}, pages={707–709} } @article{suh_heuss_lee_misra_2003, title={Effect of the composition on the electrical properties of TaSixNy metal gate electrodes}, volume={24}, ISSN={["1558-0563"]}, DOI={10.1109/LED.2003.814009}, abstractNote={In this letter, the effect of silicon and nitrogen on the electrical properties of TaSi/sub x/N/sub y/ gate electrode were investigated. The TaSi/sub x/N/sub y/ films were deposited on SiO/sub 2/ using reactive cosputtering of Ta and Si target in Ar and N/sub 2/ ambient. The thermal stability of TaSi/sub x/N/sub y//SiO/sub 2//p-type Si stacks was evaluated by measuring the flatband voltage and equivalent oxide thickness at 400/spl deg/C and 900/spl deg/C in Ar. It was found that under high temperature anneals, Si-rich TaSi/sub x/N/sub y/ films increased and this was attributed to the formation of a reaction layer at the electrode-dielectric interface. Reducing the Si content alone did not prevent the formation of this reaction layer while removing Si completely by utilizing TaN resulted in work functions that were too high. The presence of both Si and N was deemed necessary and their content was critical in obtaining optimized TaSi/sub x/N/sub y/ gates that are suitable for NMOS devices.}, number={7}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Suh, YS and Heuss, GP and Lee, JH and Misra, V}, year={2003}, month={Jul}, pages={439–441} } @article{li_surthi_mathur_gowda_misra_sorenson_tenent_kuhr_tamaru_lindsey_et al._2003, title={Electrical characterization of redox-active molecular monolayers on SiO2 for memory applications}, volume={83}, ISSN={["0003-6951"]}, DOI={10.1063/1.1584088}, abstractNote={Hybrid silicon capacitors have been successfully fabricated by attaching monolayers of redox-active molecules via self-assembly to ultrathin silicon dioxide layers. Capacitance, conductance, and cyclic voltammetric measurements have been used to characterize these capacitors. The presence of distinct capacitance and conductance peaks associated with oxidation and reduction of the monolayers at low gate voltages indicates discrete electron storage states for these capacitors, suggesting their feasibility in memory devices. The inherent molecular scalability and low-power operation coupled with existing silicon technology support the approach of hybrid molecule-silicon devices as a strong candidate for next generation electronic devices.}, number={1}, journal={APPLIED PHYSICS LETTERS}, author={Li, QL and Surthi, S and Mathur, G and Gowda, S and Misra, V and Sorenson, TA and Tenent, RC and Kuhr, WG and Tamaru, S and Lindsey, JS and et al.}, year={2003}, month={Jul}, pages={198–200} } @article{suh_heuss_misra_park_limb_2003, title={Thermal stability of TaSixNy films deposited by reactive sputtering on SiO2}, volume={150}, ISSN={["1945-7111"]}, DOI={10.1149/1.1562599}, abstractNote={The thermal stability of /p-type Si metal-insulator-semiconductor structure has been evaluated by measuring equivalent oxide thickness (EOT) from capacitance-voltage curves and gate leakage current as a function of annealing temperatures. films were deposited using reactive sputtering from a target, varying the nitrogen/argon flow ratio. A reaction between and was observed after a 1000°C anneal, resulting in the increase of interfacial roughness and oxide thickness in the structures. Cross-sectional transmission electron microscopy shows no indication of an interfacial reaction or crystallization in on up to 1000°C as manifested by the negligible change in EOT and the stable leakage currents density A/cm2 at V). The presence of Si-N bonds is attributed to cause the amorphous nature of the high N-containing films. This may retard the formation of an interface layer and improve the chemical-thermal stability of the gate electrode/dielectric interface and oxygen diffusion barrier properties under high-temperature annealing. © 2003 The Electrochemical Society. All rights reserved.}, number={5}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Suh, YS and Heuss, GP and Misra, V and Park, DG and Limb, KY}, year={2003}, month={May}, pages={F79–F82} } @article{li_mathur_homsi_surthi_misra_malinovskii_schweikart_yu_lindsey_liu_et al._2002, title={Capacitance and conductance characterization of ferrocene-containing self-assembled monolayers on silicon surfaces for memory applications}, volume={81}, ISSN={["0003-6951"]}, DOI={10.1063/1.1500781}, abstractNote={Self-assembled monolayers of 4-ferrocenylbenzyl alcohol attached to silicon provided the basis for electrolyte-molecule-silicon capacitors. Characterization by conventional capacitance and conductance techniques showed very high capacitance and conductance peaks near ∼0.6 V associated with charging and discharging of electrons into and from discrete levels in the monolayer owing to the presence of the redox-active ferrocenes. The reversible charge trapping of these molecules suggest their potential application in memory devices. Due to the molecular scalability and low-power operation, molecular-silicon hybrid devices may be strong candidates for next-generation electronic devices.}, number={8}, journal={APPLIED PHYSICS LETTERS}, author={Li, QL and Mathur, G and Homsi, M and Surthi, S and Misra, V and Malinovskii, V and Schweikart, KH and Yu, LH and Lindsey, JS and Liu, ZM and et al.}, year={2002}, month={Aug}, pages={1494–1496} } @article{suh_heuss_misra_2002, title={Electrical characteristics of TaSixNy/SO2/Si structures by Fowler-Nordheim current analysis}, volume={80}, ISSN={["0003-6951"]}, DOI={10.1063/1.1453478}, abstractNote={In this letter, the Fowler–Nordheim tunneling in TaSixNy/SiO2/p-Si structures has been analyzed. The effective barrier height at the metal–oxide interface was extracted by Fowler–Nordheim current analysis. The barrier height was found to increase with increased annealing temperature. The barrier height was correlated with the extracted work function from capacitance–voltage analysis. This indicated that the work function of TaSixNy films changes under high temperature annealing from 4.2∼4.3 eV after 400 °C anneals to ∼4.8 eV after 900 °C anneals. We believe that the mechanism that causes the work function to increase is the formation of a Ta-disilicide layer at the interface between the electrode and the dielectric.}, number={8}, journal={APPLIED PHYSICS LETTERS}, author={Suh, YS and Heuss, GP and Misra, V}, year={2002}, month={Feb}, pages={1403–1405} } @article{misra_zhong_lazar_2002, title={Electrical properties of Ru-based alloy gate electrodes for dual metal gate Si-CMOS}, volume={23}, ISSN={["0741-3106"]}, DOI={10.1109/LED.2002.1004233}, abstractNote={In this letter, low resistivity Ru and Ru-Ta alloy films, deposited via reactive sputtering, were evaluated as gate electrodes for p- and n-MOSFET devices, respectively. MOSFETs fabricated via a conventional process flow indicated that the work functions of Ru and Ru-Ta alloys were compatible with p- and n-MOSFET devices, respectively. Both of the metal gated devices eliminated gate depletion effects. Good MOSFET characteristics, such as I/sub DS/-V/sub GS/ and mobility, were obtained for both Ru-gated PMOSFETs and Ru-Ta gated NMOSFETs.}, number={6}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Misra, V and Zhong, HC and Lazar, H}, year={2002}, month={Jun}, pages={354–356} } @article{misra_lucovsky_parsons_2002, title={Issues in high-kappa gate stack interfaces}, volume={27}, ISSN={["1938-1425"]}, url={http://gateway.webofknowledge.com/gateway/Gateway.cgi?GWVersion=2&SrcAuth=ORCID&SrcApp=OrcidOrg&DestLinkType=FullRecord&DestApp=WOS_CPL&KeyUT=WOS:000174442100022&KeyUID=WOS:000174442100022}, DOI={10.1557/mrs2002.73}, abstractNote={Abstract}, number={3}, journal={MRS BULLETIN}, author={Misra, V and Lucovsky, G and Parsons, GN}, year={2002}, month={Mar}, pages={212–216} } @article{lazar_misra_johnson_lucovsky_2001, title={Characteristics of metalorganic remote plasma chemical vapor deposited Al2O3 gate stacks on SiC metal-oxide-semiconductor devices}, volume={79}, ISSN={["1077-3118"]}, DOI={10.1063/1.1392973}, abstractNote={Metalorganic remote plasma chemical vapor deposited SiO2/Al2O3 stacks were deposited on 6H p-type silicon SiC to fabricate a high-k gate stack SiC metal–oxide–semiconductor capacitors. Capacitance–voltage (C–V) and current–voltage (I–V) measurements were performed. C–V characteristics showed excellent properties at room and higher temperatures. Samples exhibited a slight negative flatband shift from which the net oxide charge (Qox) was calculated. Low leakage currents were observed even at high temperatures. I–V characteristics of Al2O3 were superior to those observed on AlN and SiO2 dielectrics on SiC.}, number={7}, journal={APPLIED PHYSICS LETTERS}, author={Lazar, HR and Misra, V and Johnson, RS and Lucovsky, G}, year={2001}, month={Aug}, pages={973–975} } @article{zhong_heuss_misra_luan_lee_kwong_2001, title={Characterization of RuO2 electrodes on Zr silicate and ZrO2 dielectrics}, volume={78}, ISSN={["1077-3118"]}, DOI={10.1063/1.1347402}, abstractNote={The rutile stoichiometric phase of RuO2, deposited via reactive sputtering, was evaluated as a gate electrode on chemical vapor deposited ZrO2 and Zr silicate for Si–p-type metal–oxide–semiconductor (PMOS) devices. Thermal and chemical stability of the electrodes was studied at annealing temperatures of 400, 600, and 800 °C in N2. X-ray diffraction was measured to study grain structure and interface reactions. The resistivity of RuO2 films was 65.0 μΩ cm after 800 °C annealing. Electrical properties were evaluated on MOS capacitors, which indicated that the work function of RuO2 was ∼5.1 eV, compatible with PMOS devices. Post-RuO2 gate annealing up to 800 °C, resulted in only a 1.4 Å equivalent oxide thickness (Tox-eq) change and 0.2 V flatband voltage change for Zr silicate and a 4 Å Tox-eq change for ZrO2 dielectrics. Tantalum electrodes were also studied on ZrO2 as a comparison of the stability of RuO2 electrodes.}, number={8}, journal={APPLIED PHYSICS LETTERS}, author={Zhong, HC and Heuss, G and Misra, V and Luan, HF and Lee, CH and Kwong, DL}, year={2001}, month={Feb}, pages={1134–1136} } @article{zhong_heuss_suh_misra_hong_2001, title={Electrical properties of Ru and RuO2 gate electrodes for Si-PMOSFET with ZrO2 and Zr-silicate dielectrics}, volume={30}, ISSN={["1543-186X"]}, DOI={10.1007/s11664-001-0164-2}, number={12}, journal={JOURNAL OF ELECTRONIC MATERIALS}, author={Zhong, HC and Heuss, G and Suh, YS and Misra, V and Hong, SN}, year={2001}, month={Dec}, pages={1493–1498} } @article{misra_kulkarni_zhong_2001, title={N and P metal oxide semiconductor field effect transistor characteristics of hafnium-doped SiO2 gate dielectrics}, volume={30}, ISSN={["1543-186X"]}, DOI={10.1007/s11664-001-0165-1}, number={12}, journal={JOURNAL OF ELECTRONIC MATERIALS}, author={Misra, V and Kulkarni, M and Zhong, HC}, year={2001}, month={Dec}, pages={1499–1505} } @article{weintraub_vogel_hauser_yang_misra_wortman_ganem_masson_2001, title={Study of low-frequency charge pumping on thin stacked dielectrics}, volume={48}, ISSN={["1557-9646"]}, DOI={10.1109/16.974700}, abstractNote={The application of low-frequency charge pumping to obtain near-interface, or bulk trap densities, on thin stacked gate dielectrics is studied. A review of the theory governing the low-frequency charge pumping technique, developed to extract bulk trap densities from metal-oxide-semiconductor field-effect transistors (MOSFETs) fabricated with thick SiO/sub 2/ dielectrics, is given. In this study, the technique is applied to a series of n-channel MOSFETs fabricated with stacked gate dielectrics. The dielectric stacks were comprised of rapid thermal oxide (RTO) interface layers and rapid thermal chemical vapor deposited (RTCVD) oxynitride layers, which incorporated varying concentrations of nitrogen. The effect of DC tunneling currents on the technique is studied, and a procedure to remove these components from the measured substrate current is outlined. Distortions in the experimentally measured charge pumping current plotted as a function of gate bias is modeled and found to be due to the contribution of bulk traps. Finally, the limitations of applying a model that was originally developed for thick SiO/sub 2/ dielectrics to thin stacked gate dielectrics are discussed.}, number={12}, journal={IEEE TRANSACTIONS ON ELECTRON DEVICES}, author={Weintraub, CE and Vogel, E and Hauser, JR and Yang, N and Misra, V and Wortman, JJ and Ganem, J and Masson, P}, year={2001}, month={Dec}, pages={2754–2762} } @article{misra_heuss_zhong_2001, title={Use of metal-oxide-semiconductor capacitors to detect interactions of Hf and Zr gate electrodes with SiO2 and ZrO2}, volume={78}, DOI={10.1063/1.1380240}, abstractNote={Metal–oxide–semiconductor capacitors were used to study the interaction of Hf and Zr gate electrodes on SiO2, ZrSixOy, and ZrO2. A large reduction in the SiO2 equivalent oxide thickness accompanied by an increase in the leakage current was observed with Hf and Zr electrodes when subjected to anneal temperatures as low as 400 °C. The reduction in electrical thickness as observed from the capacitance–voltage measurements was attributed to the combination of (a) physical thinning of the SiO2 and (b) formation of a high-K layer. A severe instability of Zr and Hf electrodes was also observed on ZrSixOy and ZrO2 dielectrics. This behavior of Zr and Hf gates was attributed to high negative enthalpy of oxide formation and high oxygen solubility resulting in the reduction of the gate dielectric and subsequent oxygen diffusion to the gate electrode.}, number={26}, journal={Applied Physics Letters}, author={Misra, Veena and Heuss, G. P. and Zhong, H.}, year={2001}, pages={4166–4168} } @article{zhong_heuss_misra_2000, title={Electrical properties of RuO2 gate electrodes for dual metal gate Si-CMOS}, volume={21}, ISSN={["0741-3106"]}, DOI={10.1109/55.887476}, abstractNote={The rutile stoichiometric phase of RuO/sub 2/, deposited via reactive sputtering, was evaluated as a gate electrode for Si-PMOS devices. Thermal and chemical stability of the electrodes was studied at annealing temperatures of 400/spl deg/C and 600/spl deg/C in N/sub 2/. X-ray diffraction patterns were measured to study grain structure and interface reactions. Very low resistivity values were observed and were found to be a strong function of temperature. Electrical properties were evaluated on MOS capacitors, which indicated that the workfunction of RuO/sub 2/ was compatible with PMOS devices. Excellent stability of oxide thickness, flatband voltage and gate current as a function of temperature was also found. Breakdown fields were also measured for the samples before and after annealing.}, number={12}, journal={IEEE ELECTRON DEVICE LETTERS}, author={Zhong, HC and Heuss, G and Misra, V}, year={2000}, month={Dec}, pages={593–595} } @article{ban_ozturk_misra_wortman_venables_maher_1999, title={A low-thermal-budget in situ doped multilayer silicon epitaxy process for MOSFET channel engineering}, volume={146}, ISSN={["0013-4651"]}, DOI={10.1149/1.1391744}, abstractNote={This paper describes an in situ boron‐doped, multilayer epitaxial silicon process that can be used to obtain doping profiles for channels in the deep‐submicron regime. We have extensively studied lightly doped channel structures in which an intrinsic silicon layer is grown on an in situ doped epitaxial silicon film. Low‐thermal‐budget processing is achieved by the ultrahigh‐vacuum rapid thermal chemical vapor deposition technique which combines low‐temperature surface preparation and deposition (≤800°C) while providing high growth rates using disilane . Boron doping is achieved using diborane diluted in hydrogen (500 ppm) as the precursor. Temperature and gas switching are compared in terms of doping transition, interface contamination (carbon and oxygen incorporation), and impurity diffusion upon annealing. Our results reveal that for a contamination‐free epitaxial silicon interface, interfacial carbon contamination must be eliminated or reduced to a minimum level. Using this process, short‐channel n‐channel metal‐oxide semiconductor devices μm) have been fabricated for the first time demonstrating the potential use of the technique. It was found that lightly doped channel metal‐oxide semiconductor field effect transistors are more easily scalable into the 0.1 μm regime with superior short‐channel characteristics. © 1999 The Electrochemical Society. All rights reserved.}, number={3}, journal={JOURNAL OF THE ELECTROCHEMICAL SOCIETY}, author={Ban, I and Ozturk, MC and Misra, V and Wortman, JJ and Venables, D and Maher, DM}, year={1999}, month={Mar}, pages={1189–1196} } @article{lucovsky_wu_niimi_misra_phillips_1999, title={Bonding constraint-induced defect formation at Si-dielectric interfaces and internal interfaces in dual-layer gate dielectrics}, volume={17}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Lucovsky, G. and Wu, Y. and Niimi, H. and Misra, V. and Phillips, J. C.}, year={1999}, pages={1806–1812} } @article{lucovsky_wu_niimi_misra_phillips_1999, title={Bonding constraints and defect formation at interfaces between crystalline silicon and advanced single layer and composite gate dielectrics}, volume={74}, ISSN={["1077-3118"]}, DOI={10.1063/1.123728}, abstractNote={An increasingly important issue in semiconductor device physics is understanding of how departures from ideal bonding at silicon–dielectric interfaces generate electrically active defects that limit performance and reliability. Building on previously established criteria for formation of low defect density glasses, constraint theory is extended to crystalline silicon–dielectric interfaces that go beyond Si–SiO2 through development of a model that quantifies average bonding coordination at these interfaces. This extension is validated by application to interfaces between Si and stacked silicon oxide/nitride dielectrics demonstrating that as in bulk glasses and thin films, an average coordination, Nav, greater than three yields increasing defective interfaces.}, number={14}, journal={APPLIED PHYSICS LETTERS}, author={Lucovsky, G and Wu, Y and Niimi, H and Misra, V and Phillips, JC}, year={1999}, month={Apr}, pages={2005–2007} } @article{misra_lazar_wang_wu_niimi_lucovsky_wortman_hauser_1999, title={Interfacial properties of ultrathin pure silicon nitride formed by remote plasma enhanced chemical vapor deposition}, volume={17}, number={4}, journal={Journal of Vacuum Science & Technology. B, Microelectronics and Nanometer Structures}, author={Misra, V. and Lazar, H. and Wang, Z. and Wu, Y. and Niimi, H. and Lucovsky, G. and Wortman, J. J. and Hauser, J. R.}, year={1999}, pages={1836–1839} } @article{morfouli_ghibaudo_vogel_hill_misra_mclarty_wortman_1997, title={Electrical and reliability properties of thin silicon oxinitride dielectrics formed by low pressure rapid thermal chemical vapor deposition}, volume={41}, ISSN={["0038-1101"]}, DOI={10.1016/S0038-1101(97)00019-1}, abstractNote={The electrical properties and reliability issues of MOSFETs with an ultra thin silicon oxinitride gate film (5 nm up to 8.5 nm), prepared by low pressure rapid thermal chemical vapor deposition are studied with the goal to evaluate the impact of the nitridation on the electrical properties of MOSFETs. More specifically, the wear-out and breakdown features of oxinitride dielectrics are investigated as a function of the nitrogen concentration in the film. The charge building up in the insulator bulk was evaluated while the interface reliability parameters were extracted from charge pumping and transfer characteristics measurements after constant current gate stress (1 mA cm−2). The optimum nitridation rate for minimizing the charge building up is shown to be 2–3%. However the charge-to-breakdown was found to decrease continuously after nitridation.}, number={7}, journal={SOLID-STATE ELECTRONICS}, author={Morfouli, P and Ghibaudo, G and Vogel, EM and Hill, WL and Misra, V and McLarty, PK and Wortman, JJ}, year={1997}, month={Jul}, pages={1051–1055} }