Electrical and Computer Engineering

Works Published in 2006

search works

Displaying works 1 - 20 of 305 in total

Sorted by most recent date added to the index first, which may not be the same as publication date order.

2006 conference paper

Quantification of odor and odorants at swine facilities and assessment of their impact downwind

In V. P. Aneja, W. H. Schlesinger, R. Knighton, G. Jennings, D. Niyogi, W. Gilliam, & C. S. Duke (Eds.), Proceedings: Air quality: State of the science: Vol. V (pp. 136–137).

By: S. Schiffman & B. Graham

Ed(s): V. Aneja, W. Schlesinger, R. Knighton, G. Jennings, D. Niyogi, W. Gilliam, C. Duke

Event: Air quality: State of the science at Potomac, MD

Source: NC State University Libraries
Added: July 23, 2023

2006 conference paper

Advanced Power Electronics Packaging High-Current High Temperature Applications

21st Annual IEEE Conference on Applied Power Electronics Conference and Exposition (APEC). Presented at the 21st Annual IEEE Conference on Applied Power Electronics Conference and Exposition (APEC), New Orleans, LA.

By: D. Hopkins

Event: 21st Annual IEEE Conference on Applied Power Electronics Conference and Exposition (APEC) at New Orleans, LA on March 19-23, 2006

Source: NC State University Libraries
Added: July 22, 2023

2006 thesis

Development of an Internet Addressable Pneumatically Controlled Instrument for Applying Stain the Cells In-Vitro

(Dissertation). North Carolina State University, Raleigh, NC.

By: F. Livingston

Source: NC State University Libraries
Added: February 11, 2023

2006 journal article

Development of an Internet Addressable Pneumatically Controlled Instrument for Applying Strain to Cells In-Vitro

By: F. Livingston

Source: ORCID
Added: February 5, 2023

2006 chapter

Automated Architectural Exploration for Signal Processing Algorithms

In 2006 IEEE Workshop on Signal Processing Systems Design and Implementation (pp. 274–279,).

By: R. Hourani n, R. Jenkal n, W. Davis n & W. Alexander n

Event: 2006 IEEE Workshop on Signal Processing Systems Design and Implementation at Banff, AB, Canada on October 2-4, 2006

TL;DR: This paper presents the view of a framework that combines common electronic design automation (EDA) tools to alleviate the designer from manually constructing the hardware models and analyzing their performance, and uses this framework to efficiently implement design optimizations that improve the performance of the overall hardware architectures. (via Semantic Scholar)
Sources: Web Of Science, NC State University Libraries
Added: July 9, 2022

2006 conference paper

Architecture for Energy Efficient Sphere Decoding

2006 IEEE International SOC Conference, 267–270.

By: R. Jenkal n, H. Hua n, A. Sule n & W. Davis n

Event: 2006 IEEE International SOC Conference at Austin, TX on September 24-27, 2006

TL;DR: An improved architecture forSphere Decoding is provided which aims to increase overall energy efficiency (b/s/mW) of the decoder and is based on a novel implementation which combines the use of a deeply pipelined data-path and "multi symbol vector" based approach to exploit the pipeline. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Web Of Science, NC State University Libraries
Added: July 9, 2022

2006 conference paper

Compact Electrothermal Modeling of an X-band MMIC

2006 IEEE MTT-S International Microwave Symposium Digest, 651–654.

By: S. Luniya n, W. Batty*, V. Caccamesi, M. Garcia, C. Christoffersen*, S. Melamed n, W. Davis n, M. Steer n

Event: 2006 IEEE MTT-S International Microwave Symposium at San Francisco, CA on June 11-16, 2006

author keywords: MMIC; electrothermal effects; circuit simulation; modeling
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (Web of Science; OpenAlex)
Sources: Web Of Science, NC State University Libraries
Added: July 9, 2022

2006 conference paper

Performance Trend in Three-Dimensional Integrated Circuits

2006 International Interconnect Technology Conference, 45–47.

By: H. Hua n, C. Mineo n, K. Schoenfliess n, A. Sule n, S. Melamed n & W. Davis n

Event: 2006 International Interconnect Technology Conference at Burlingame, CA on June 5-7, 2006

TL;DR: This work develops an automated design flow with 2D CAD tools to design 3DICs with the MIT Lincoln Lab 0.18mum three-tier fully depleted silicon on insulator (FDSOI) process, showing that the performance of3DIC shows up to two-generation advantage over its 2D counterpart with only three tiers. (via Semantic Scholar)
Sources: NC State University Libraries, NC State University Libraries
Added: July 9, 2022

2006 conference paper

Exploring Compromises among Timing, Power and Temperature in Three-Dimensional Integrated Circuits

DAC '06: Proceedings of the 43rd annual Design Automation Conference, 997–1002.

By: H. Hua n, C. Mineo n, K. Schoenfliess n, A. Sule n, S. Melamed n, R. Jenkal n, W. Davis n

Event: Design Automation Conference (DAC)

TL;DR: Physical design experiments were performed on a low-power and a high-performance design in an existing 3DIC technology, and it is shown that thermal-vias offer no performance benefit for the low- power system and only marginal benefit forThe high- performance system. (via Semantic Scholar)
UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: NC State University Libraries, NC State University Libraries
Added: July 9, 2022

2006 speech

Tool Integration for Signal Processing Architectural Exploration

Hourani, R., Jenkal, R., Davis, R., & Alexander, W. (2006, April). Presented at the Electronic Design Process (EDP) Workshop.

By: R. Hourani, R. Jenkal, R. Davis & W. Alexander

Event: Electronic Design Process (EDP) Workshop

Source: NC State University Libraries
Added: July 1, 2022

2006 conference paper

Breaking Rent’s Rule: Opportunities for 3D Interconnect Networks

VLSI Multilevel Interconnection (VMIC) Conference, 228–233.

By: W. Davis & C. Mineo

Event: VLSI Multilevel Interconnection (VMIC) Conference on September 26-28, 2006

Source: NC State University Libraries
Added: July 1, 2022

2006 speech

Demystifying 3D ICs: The Pros and Cons of Going Vertical

Davis, W. R. (2006, April). Presented at the Virginia Tech ECE Department Seminar, Blacksburg, VA.

By: W. Davis

Event: Virginia Tech ECE Department Seminar at Blacksburg, VA on April 14, 2006

Source: NC State University Libraries
Added: July 1, 2022

2006 conference paper

A Network based, Delay-tolerant, Integrated Navigation System for a differential drive UGV using Harmonic Potential Field

Proceedings of the 45th IEEE Conference on Decision and Control.

TL;DR: A delay-resistant sensory-motor module for navigating a differential drive unmanned ground vehicle (UGV) in an indoor cluttered environment and the structure of this module and its components are described. (via Semantic Scholar)
Source: ORCID
Added: July 1, 2022

2006 conference paper

Aluminum-Based High-Temperature (>200°C) Packaging for SiC Power Converters

Proceedings 2006 International Symposium on Microelectronics : October 8-12, 2006 : San Diego Convention Center, San Diego, Calif., 734–741. Washington, D.C.: IMAPS.

By: D. Hopkins, D. Kellerman, C. Basaran & J. Gomez

Event: 39th International Microelectronics and Packaging Society (IMAPS) International Symposium on Microelectronics at San Diego, CA on October 8-12, 2006

Source: NC State University Libraries
Added: October 28, 2021

2006 conference paper

High-temperature, high-density packaging of a 60kW converter for >200/spl deg/C embedded operation

High-temperature, high-density packaging of a 60kW converter for >200/spl deg/C embedded operation. Twenty-First Annual IEEE Applied Power Electronics Conference and Exposition, 2006. APEC '06. Presented at the Twenty-First Annual IEEE Applied Power Electronics Conference and Exposition, 2006. APEC '06., Dallas, TX.

By: D. Hopkins*, D. Kellerman, R. Wunderlich*, C. Basaran* & J. Gomez*

Event: Twenty-First Annual IEEE Applied Power Electronics Conference and Exposition, 2006. APEC '06. at Dallas, TX on March 19-23, 2006

UN Sustainable Development Goal Categories
7. Affordable and Clean Energy (OpenAlex)
Sources: Crossref, NC State University Libraries
Added: September 5, 2021

2006 conference paper

Experimental Study of Thermomigration in Lead-Free Nanoelectronics Solder Joints

Electronic and Photonic Packaging, Electrical Systems Design and Photonics, and Nanotechnology. Presented at the ASME 2006 International Mechanical Engineering Congress and Exposition.

By: M. Abdulhamid*, C. Basaran* & D. Hopkins*

Event: ASME 2006 International Mechanical Engineering Congress and Exposition

Sources: Crossref, NC State University Libraries
Added: September 5, 2021

2006 journal article

Experimental Damage Mechanics of Micro/Power Electronics Solder Joints under Electric Current Stresses

International Journal of Damage Mechanics, 15(1), 41–67.

By: H. Ye, C. Basaran* & D. Hopkins*

author keywords: thermomigration; electromigration; damage mechanics; solder joints; nanoelectronics; microelectronics; power electronics; solder joint reliability
Sources: Crossref, NC State University Libraries
Added: September 5, 2021

2006 article

System and method for non-uniform cache in a multi-core processor

Hughes, C., Tuck, J., Lee, V., & Chen, Y.-kuang. (2006, June).

By: C. Hughes, J. Tuck, V. Lee & Y. Chen

Source: ORCID
Added: August 18, 2021

2006 journal article

Boosting SMT trace processors performance with data cache misssensitive thread scheduling mechanism

Microprocessors and Microsystems, 30(5), 225–233.

By: K. Wang, Z. Ji & M. Hu

Source: ORCID
Added: August 18, 2021

2006 journal article

Energy-efficient thread-level speculation

IEEE Micro, 26(1), 80–91.

By: J. Renau, K. Strauss, L. Ceze, W. Liu, S. Sarangi, J. Tuck, J. Torrellas

Source: ORCID
Added: August 18, 2021

Citation Index includes data from a number of different sources. If you have questions about the sources of data in the Citation Index or need a set of data which is free to re-distribute, please contact us.

Certain data included herein are derived from the Web of Science© and InCites© (2024) of Clarivate Analytics. All rights reserved. You may not copy or re-distribute this material in whole or in part without the prior written consent of Clarivate Analytics.